Timing Report
Lattice Timing Report -  Setup  and Hold, Version Radiant Software (64-bit) 2024.1.0.34.2

Mon Sep  9 14:39:33 2024

Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved.
Copyright (c) 1995 AT&T Corp.   All rights reserved.
Copyright (c) 1995-2001 Lucent Technologies Inc.  All rights reserved.
Copyright (c) 2001 Agere Systems   All rights reserved.
Copyright (c) 2002-2024 Lattice Semiconductor Corporation,  All rights reserved.

Command line:    timing -sethld -v 10 -u 10 -endpoints 10 -nperend 1 -sp 9_High-Performance_1.0V -hsp m -pwrprd -html -rpt LAB04_Async_rst.twr LAB04_Async_rst.udb -gui -msgset C:/Users/qnoor/Downloads/LAB04_Hybrid_2024/LAB04_Hybrid/promote.xml

-------------------------------------------
Design:          Top
Family:          LFCPNX
Device:          LFCPNX-100
Package:         LFG672
Performance:     9_High-Performance_1.0V
Package Status:                     Final          Version 16
Performance Hardware Data Status :   Final Version 3.9
-------------------------------------------


=====================================================================
                    Table of Contents
=====================================================================
  • 1 Timing Overview
  • 1.1 SDC Constraints
  • 1.2 Constraint Coverage
  • 1.3 Overall Summary
  • 1.4 Unconstrained Report
  • 1.5 Combinational Loop
  • 2 Setup at Speed Grade 9_High-Performance_1.0V Corner at 85 Degrees
  • 2.1 Clock Summary
  • 2.2 Endpoint slacks
  • 2.3 Detailed Report
  • 3 Setup at Speed Grade 9_High-Performance_1.0V Corner at 0 Degrees
  • 3.1 Clock Summary
  • 3.2 Endpoint slacks
  • 3.3 Detailed Report
  • 4 Hold at Speed Grade m Corner at 0 Degrees
  • 4.1 Endpoint slacks
  • 4.2 Detailed Report
  • ===================================================================== End of Table of Contents ===================================================================== 1 Timing Overview 1.1 SDC Constraints create_clock -name {clk150} -period 8.88889 [get_pins {OSCA001.OSCA_inst/HFCLKOUT }] create_clock -name {rvltck} -period 33.33 [get_ports TCK] set_false_path -to [get_clocks rvltck] set_false_path -from [get_clocks rvltck] create_generated_clock -name {rvjtck} -source [get_ports TCK] [get_nets jtck] set_false_path -to [get_clocks rvjtck] set_false_path -from [get_clocks rvjtck] set_clock_groups -group [get_clocks clk150] -group [get_clocks rvltck] -asynchronous 1.2 Constraint Coverage Constraint Coverage: 99.7859% 1.3 Overall Summary Setup at Speed Grade 9_High-Performance_1.0V Corner at 85 Degrees Timing Errors: 0 endpoints; Total Negative Slack: 0.000 ns Setup at Speed Grade 9_High-Performance_1.0V Corner at 0 Degrees Timing Errors: 0 endpoints; Total Negative Slack: 0.000 ns Hold at Speed Grade m Corner at 0 Degrees Timing Errors: 0 endpoints; Total Negative Slack: 0.000 ns 1.4 Unconstrained Report 1.4.1 Unconstrained Start/End Points Clocked but unconstrained timing start points ------------------------------------------------------------------- Listing 3 Start Points | Type ------------------------------------------------------------------- LED3_0io.PIC_inst/Q | No required time LED2_0io.PIC_inst/Q | No required time LED1_0io.PIC_inst/Q | No required time ------------------------------------------------------------------- | Number of unconstrained timing start po | ints | 3 | ------------------------------------------------------------------- Clocked but unconstrained timing end points ------------------------------------------------------------------- Listing 1 End Points | Type ------------------------------------------------------------------- {RST001/Rst_Sync1_reg.ff_inst/LSR RST001/Rst_Sync.ff_inst/LSR} | No arrival time ------------------------------------------------------------------- | Number of unconstrained timing end poin | ts | 1 | ------------------------------------------------------------------- 1.4.2 Start/End Points Without Timing Constraints I/O ports without constraint ---------------------------- Possible constraints to use on I/O ports are: set_input_delay, set_output_delay, set_max_delay, create_clock, create_generated_clock, ... ------------------------------------------------------------------- Listing 6 Start or End Points | Type ------------------------------------------------------------------- en | input reset | input LED4 | output LED3 | output LED2 | output LED1 | output ------------------------------------------------------------------- | Number of I/O ports without constraint | 6 | ------------------------------------------------------------------- Nets without clock definition Define a clock on a top level port or a generated clock on a clock divider pin associated with this net(s). -------------------------------------------------- There is no instance satisfying reporting criteria 1.5 Combinational Loop None 2 Setup at Speed Grade 9_High-Performance_1.0V Corner at 85 Degrees 2.1 Clock Summary 2.1.1 Clock "clk150" create_clock -name {clk150} -period 8.88889 [get_pins {OSCA001.OSCA_inst/HFCLKOUT }] Single Clock Domain ------------------------------------------------------------------------------------------------------- Clock clk150 | | Period | Frequency ------------------------------------------------------------------------------------------------------- From clk150 | Target | 8.889 ns | 112.500 MHz | Actual (all paths) | 5.890 ns | 169.779 MHz OSCA001.OSCA_inst/HFCLKOUT (MPW) | (50% duty cycle) | 4.354 ns | 229.674 MHz ------------------------------------------------------------------------------------------------------- Clock Domain Crossing ------------------------------------------------------------------------------------------------------ Clock clk150 | Worst Time Between Edges | Comment ------------------------------------------------------------------------------------------------------ From rvltck | ---- | False path From rvjtck | ---- | False path ------------------------------------------------------------------------------------------------------ 2.1.2 Clock "rvltck" create_clock -name {rvltck} -period 33.33 [get_ports TCK] Single Clock Domain ------------------------------------------------------------------------------------------------------- Clock rvltck | | Period | Frequency ------------------------------------------------------------------------------------------------------- From rvltck | Target | 33.330 ns | 30.003 MHz | Actual (all paths) | 5.000 ns | 200.000 MHz jtaghub_inst/IB_inst2.bb_inst/B (MPW) | (50% duty cycle) | 5.000 ns | 200.000 MHz ------------------------------------------------------------------------------------------------------- Clock Domain Crossing ------------------------------------------------------------------------------------------------------ Clock rvltck | Worst Time Between Edges | Comment ------------------------------------------------------------------------------------------------------ From clk150 | ---- | False path From rvjtck | ---- | False path ------------------------------------------------------------------------------------------------------ 2.1.3 Clock "rvjtck" create_generated_clock -name {rvjtck} -source [get_ports TCK] [get_nets jtck] Single Clock Domain ------------------------------------------------------------------------------------------------------- Clock rvjtck | | Period | Frequency ------------------------------------------------------------------------------------------------------- From rvjtck | Target | 33.330 ns | 30.003 MHz | Actual (all paths) | 2.942 ns | 339.905 MHz top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_instance_0_66/CLKR (MPW) | (50% duty cycle) | 2.942 ns | 339.905 MHz ------------------------------------------------------------------------------------------------------- Clock Domain Crossing ------------------------------------------------------------------------------------------------------ Clock rvjtck | Worst Time Between Edges | Comment ------------------------------------------------------------------------------------------------------ From clk150 | ---- | False path From rvltck | ---- | False path ------------------------------------------------------------------------------------------------------ 2.2 Endpoint slacks ------------------------------------------------------- Listing 10 End Points | Slack ------------------------------------------------------- LED3_0io.PIC_inst/D | 2.999 ns LED1_0io.PIC_inst/D | 3.360 ns reveal_ist_128.ff_inst/DF | 3.579 ns {top_reveal_coretop_instance/core0/trig_u/te_2/secured_instance_5_98/CE top_reveal_coretop_instance/core0/trig_u/te_2/secured_instance_5_99/CE} | 3.644 ns {top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_12_111/CE top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_12_112/CE} | 3.727 ns {top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_12_107/CE top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_12_108/CE} | 3.757 ns top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_14_95/DF | 3.763 ns top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_11_95/DF | 3.771 ns top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_12_95/DF | 3.938 ns top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_13_95/DF | 3.958 ns ------------------------------------------------------- | Setup # of endpoints with negative slack:| 0 | ------------------------------------------------------- 2.3 Detailed Report XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX Detail report of critical paths XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX +++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Detailed Report for timing paths +++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ ++++Path 1 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Path Begin : CNT03/Couti_reg[0].ff_inst/Q (SLICE_R60C9A) Path End : LED3_0io.PIC_inst/D (SIOLOGIC_CORE_IOL_R31A) Source Clock : clk150 (R) Destination Clock: clk150 (R) Logic Level : 2 Delay Ratio : 91.0% (route), 9.0% (logic) Clock Skew : -0.086 ns Setup Constraint : 8.888 ns Common Path Skew : 0.028 ns Path Slack : 2.998 ns (Passed) Source Clock Path { "path_begin": { "type":"pin", "log_name":"OSCA001.OSCA_inst/HFCLKOUT", "phy_name":"OSCA001.OSCA_inst/HFCLKOUT" }, "path_end": { "type":"pin", "log_name":"CNT03/Couti_reg[0].ff_inst/CLK", "phy_name":"CNT03.un1_Couti_cry_0_0/CLK" }, "path_sections":[ { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_143", "phy_name":"clk150" }, "arrive":2.367, "delay":2.367 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.367, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ---------------- -------- --------------------- ------ OSCA001.OSCA_inst/HFCLKOUT OSC_CORE_OSC_CORE_R1C137 CLOCK LATENCY 0.000 0.000 336 top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_143 NET DELAY 2.367 2.367 336 CNT03/Couti_reg[0].ff_inst/CLK CLOCK PIN 0.000 2.367 1 Data Path { "path_begin": { "type":"pin", "log_name":"CNT03/Couti_reg[0].ff_inst/Q", "phy_name":"CNT03.un1_Couti_cry_0_0/Q1" }, "path_end": { "type":"pin", "log_name":"LED3_0io.PIC_inst/D", "phy_name":"LED3_pad.bb_inst_IOL/TXDATA0" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"CNT03/Couti_reg[0].ff_inst/CLK", "phy_name":"CNT03.un1_Couti_cry_0_0/CLK" }, "pin1": { "log_name":"CNT03/Couti_reg[0].ff_inst/Q", "phy_name":"CNT03.un1_Couti_cry_0_0/Q1" }, "arrive":2.660, "delay":0.293 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/tm_u/secured_signal_1_72", "phy_name":"CNT3[0]" }, "arrive":5.185, "delay":2.525 }, { "type":"site_delay", "pin0": { "log_name":"LED3_1_cZ/A", "phy_name":"op_neq.un1_CNT3_0_I_1_0_RNO_cZ/D1" }, "pin1": { "log_name":"LED3_1_cZ/Z", "phy_name":"op_neq.un1_CNT3_0_I_1_0_RNO_cZ/F1" }, "arrive":5.390, "delay":0.205 }, { "type":"net_delay", "net": { "log_name":"LED3_1", "phy_name":"LED3_1" }, "arrive":7.905, "delay":2.515 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":7.905, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ---------------- -------- --------------------- ------ CNT03/Couti_reg[0].ff_inst/CLK->CNT03/Couti_reg[0].ff_inst/Q SLICE_R60C9A REG_DEL 0.293 2.660 5 top_reveal_coretop_instance/core0/tm_u/secured_signal_1_72 NET DELAY 2.525 5.185 5 LED3_1_cZ/A->LED3_1_cZ/Z SLICE_R38C74C CTOF_DEL 0.205 5.390 1 LED3_1 NET DELAY 2.515 7.905 1 LED3_0io.PIC_inst/D ENDPOINT 0.000 7.905 1 Destination Clock Path { "path_begin": { "type":"pin", "log_name":"OSCA001.OSCA_inst/HFCLKOUT", "phy_name":"OSCA001.OSCA_inst/HFCLKOUT" }, "path_end": { "type":"pin", "log_name":"LED3_0io.PIC_inst/CLK", "phy_name":"LED3_pad.bb_inst_IOL/SCLKOUT" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":8.888, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_143", "phy_name":"clk150" }, "arrive":11.169, "delay":2.281 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":11.169, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ---------------- -------- --------------------- ------ CONSTRAINT 0.000 8.888 1 OSCA001.OSCA_inst/HFCLKOUT OSC_CORE_OSC_CORE_R1C137 CLOCK LATENCY 0.000 8.888 336 top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_143 NET DELAY 2.281 11.169 336 LED3_0io.PIC_inst/CLK CLOCK PIN 0.000 11.169 1 Uncertainty -(0.000) 11.169 Common Path Skew 0.028 11.197 Setup time -(0.294) 10.903 ---------------------------------------- ------------------------ ---------------- -------- --------------------- ------ Required Time 10.903 Arrival Time -(7.904) ---------------------------------------- ------------------------ ---------------- -------- --------------------- ------ Path Slack (Passed) 2.998 ++++ Path 2 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Path Begin : CNT01/Couti_reg[15].ff_inst/Q (SLICE_R14C14A) Path End : LED1_0io.PIC_inst/D (SIOLOGIC_CORE_IOL_R28B) Source Clock : clk150 (R) Destination Clock: clk150 (R) Logic Level : 2 Delay Ratio : 90.4% (route), 9.6% (logic) Clock Skew : -0.086 ns Setup Constraint : 8.888 ns Common Path Skew : 0.028 ns Path Slack : 3.359 ns (Passed) Source Clock Path { "path_begin": { "type":"pin", "log_name":"OSCA001.OSCA_inst/HFCLKOUT", "phy_name":"OSCA001.OSCA_inst/HFCLKOUT" }, "path_end": { "type":"pin", "log_name":"CNT01/Couti_reg[15].ff_inst/CLK", "phy_name":"CNT01.un1_Couti_s_15_0/CLK" }, "path_sections":[ { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_143", "phy_name":"clk150" }, "arrive":2.367, "delay":2.367 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.367, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ---------------- -------- --------------------- ------ OSCA001.OSCA_inst/HFCLKOUT OSC_CORE_OSC_CORE_R1C137 CLOCK LATENCY 0.000 0.000 336 top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_143 NET DELAY 2.367 2.367 336 CNT01/Couti_reg[15].ff_inst/CLK CLOCK PIN 0.000 2.367 1 Data Path { "path_begin": { "type":"pin", "log_name":"CNT01/Couti_reg[15].ff_inst/Q", "phy_name":"CNT01.un1_Couti_s_15_0/Q0" }, "path_end": { "type":"pin", "log_name":"LED1_0io.PIC_inst/D", "phy_name":"LED1_pad.bb_inst_IOL/TXDATA0" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"CNT01/Couti_reg[15].ff_inst/CLK", "phy_name":"CNT01.un1_Couti_s_15_0/CLK" }, "pin1": { "log_name":"CNT01/Couti_reg[15].ff_inst/Q", "phy_name":"CNT01.un1_Couti_s_15_0/Q0" }, "arrive":2.659, "delay":0.292 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/tm_u/secured_signal_1_25", "phy_name":"CNT1[15]" }, "arrive":4.752, "delay":2.093 }, { "type":"site_delay", "pin0": { "log_name":"LED1_1_cZ/B", "phy_name":"LED2_1_cZ/B1" }, "pin1": { "log_name":"LED1_1_cZ/Z", "phy_name":"LED2_1_cZ/F1" }, "arrive":4.957, "delay":0.205 }, { "type":"net_delay", "net": { "log_name":"LED1_1", "phy_name":"LED1_1" }, "arrive":7.544, "delay":2.587 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":7.544, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ---------------- -------- --------------------- ------ CNT01/Couti_reg[15].ff_inst/CLK->CNT01/Couti_reg[15].ff_inst/Q SLICE_R14C14A REG_DEL 0.292 2.659 5 top_reveal_coretop_instance/core0/tm_u/secured_signal_1_25 NET DELAY 2.093 4.752 5 LED1_1_cZ/B->LED1_1_cZ/Z SLICE_R32C73D CTOF_DEL 0.205 4.957 1 LED1_1 NET DELAY 2.587 7.544 1 LED1_0io.PIC_inst/D ENDPOINT 0.000 7.544 1 Destination Clock Path { "path_begin": { "type":"pin", "log_name":"OSCA001.OSCA_inst/HFCLKOUT", "phy_name":"OSCA001.OSCA_inst/HFCLKOUT" }, "path_end": { "type":"pin", "log_name":"LED1_0io.PIC_inst/CLK", "phy_name":"LED1_pad.bb_inst_IOL/SCLKOUT" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":8.888, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_143", "phy_name":"clk150" }, "arrive":11.169, "delay":2.281 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":11.169, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ---------------- -------- --------------------- ------ CONSTRAINT 0.000 8.888 1 OSCA001.OSCA_inst/HFCLKOUT OSC_CORE_OSC_CORE_R1C137 CLOCK LATENCY 0.000 8.888 336 top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_143 NET DELAY 2.281 11.169 336 LED1_0io.PIC_inst/CLK CLOCK PIN 0.000 11.169 1 Uncertainty -(0.000) 11.169 Common Path Skew 0.028 11.197 Setup time -(0.294) 10.903 ---------------------------------------- ------------------------ ---------------- -------- --------------------- ------ Required Time 10.903 Arrival Time -(7.543) ---------------------------------------- ------------------------ ---------------- -------- --------------------- ------ Path Slack (Passed) 3.359 ++++ Path 3 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Path Begin : CNT04/Couti_reg[12].ff_inst/Q (SLICE_R40C149C) Path End : reveal_ist_128.ff_inst/DF (SLICE_R35C75D) Source Clock : clk150 (R) Destination Clock: clk150 (R) Logic Level : 5 Delay Ratio : 75.0% (route), 25.0% (logic) Clock Skew : -0.132 ns Setup Constraint : 8.888 ns Common Path Skew : 0.028 ns Path Slack : 3.578 ns (Passed) Source Clock Path { "path_begin": { "type":"pin", "log_name":"OSCA001.OSCA_inst/HFCLKOUT", "phy_name":"OSCA001.OSCA_inst/HFCLKOUT" }, "path_end": { "type":"pin", "log_name":"CNT04/Couti_reg[11].ff_inst/CLK", "phy_name":"CNT04.un1_Couti_cry_11_0/CLK" }, "path_sections":[ { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_143", "phy_name":"clk150" }, "arrive":2.367, "delay":2.367 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.367, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ---------------- --------- --------------------- ------ OSCA001.OSCA_inst/HFCLKOUT OSC_CORE_OSC_CORE_R1C137 CLOCK LATENCY 0.000 0.000 336 top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_143 NET DELAY 2.367 2.367 336 {CNT04/Couti_reg[11].ff_inst/CLK CNT04/Couti_reg[12].ff_inst/CLK} CLOCK PIN 0.000 2.367 1 Data Path { "path_begin": { "type":"pin", "log_name":"CNT04/Couti_reg[12].ff_inst/Q", "phy_name":"CNT04.un1_Couti_cry_11_0/Q1" }, "path_end": { "type":"pin", "log_name":"reveal_ist_128.ff_inst/DF", "phy_name":"reveal_ist_128.ff_inst/DI0" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"CNT04/Couti_reg[12].ff_inst/CLK", "phy_name":"CNT04.un1_Couti_cry_11_0/CLK" }, "pin1": { "log_name":"CNT04/Couti_reg[12].ff_inst/Q", "phy_name":"CNT04.un1_Couti_cry_11_0/Q1" }, "arrive":2.660, "delay":0.293 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/tm_u/secured_signal_1_76", "phy_name":"CNT4[12]" }, "arrive":5.488, "delay":2.828 }, { "type":"site_delay", "pin0": { "log_name":"op_neq.un1_CNT3_0_I_15_0_RNO_0_cZ/C", "phy_name":"op_neq.un1_CNT3_0_I_15_0_RNO_cZ/B1" }, "pin1": { "log_name":"op_neq.un1_CNT3_0_I_15_0_RNO_0_cZ/Z", "phy_name":"op_neq.un1_CNT3_0_I_15_0_RNO_cZ/F1" }, "arrive":5.701, "delay":0.213 }, { "type":"net_delay", "net": { "log_name":"op_neq.un1_CNT3_0_I_15_0_RNO_0", "phy_name":"op_neq.un1_CNT3_0_I_15_0_RNO_0" }, "arrive":6.427, "delay":0.726 }, { "type":"site_delay", "pin0": { "log_name":"op_neq.un1_CNT3_0_I_15_0/A1", "phy_name":"op_neq.un1_CNT3_0_I_15_0/A1" }, "pin1": { "log_name":"op_neq.un1_CNT3_0_I_15_0/COUT", "phy_name":"op_neq.un1_CNT3_0_I_15_0/FCO" }, "arrive":6.743, "delay":0.316 }, { "type":"net_delay", "net": { "log_name":"op_neq.un1_CNT3_0_data_tmp[6]", "phy_name":"op_neq.un1_CNT3_0_data_tmp[6]" }, "arrive":6.743, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"op_neq.un1_CNT3_0_I_45_0/CIN", "phy_name":"op_neq.un1_CNT3_0_I_45_0/FCI" }, "pin1": { "log_name":"op_neq.un1_CNT3_0_I_45_0/S1", "phy_name":"op_neq.un1_CNT3_0_I_45_0/F1" }, "arrive":7.023, "delay":0.280 }, { "type":"net_delay", "net": { "log_name":"op_neq.un1_CNT3_i", "phy_name":"op_neq.un1_CNT3_i" }, "arrive":7.418, "delay":0.395 }, { "type":"site_delay", "pin0": { "log_name":"reveal_ist_1283_cZ/C", "phy_name":"reveal_ist_128.ff_inst/D0" }, "pin1": { "log_name":"reveal_ist_1283_cZ/Z", "phy_name":"reveal_ist_128.ff_inst/F0" }, "arrive":7.631, "delay":0.213 }, { "type":"net_delay", "net": { "log_name":"reveal_ist_1283", "phy_name":"reveal_ist_1283" }, "arrive":7.631, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":7.631, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ---------------- --------- --------------------- ------ CNT04/Couti_reg[12].ff_inst/CLK->CNT04/Couti_reg[12].ff_inst/Q SLICE_R40C149C REG_DEL 0.293 2.660 6 top_reveal_coretop_instance/core0/tm_u/secured_signal_1_76 NET DELAY 2.828 5.488 6 op_neq.un1_CNT3_0_I_15_0_RNO_0_cZ/C->op_neq.un1_CNT3_0_I_15_0_RNO_0_cZ/Z SLICE_R38C67A CTOF_DEL 0.213 5.701 1 op_neq.un1_CNT3_0_I_15_0_RNO_0 NET DELAY 0.726 6.427 1 op_neq.un1_CNT3_0_I_15_0/A1->op_neq.un1_CNT3_0_I_15_0/COUT SLICE_R38C75D C1TOFCO_DEL 0.316 6.743 1 op_neq.un1_CNT3_0_data_tmp[6] NET DELAY 0.000 6.743 1 op_neq.un1_CNT3_0_I_45_0/CIN->op_neq.un1_CNT3_0_I_45_0/S1 SLICE_R38C76A FCITOF1_DEL 0.280 7.023 1 op_neq.un1_CNT3_i NET DELAY 0.395 7.418 1 reveal_ist_1283_cZ/C->reveal_ist_1283_cZ/Z SLICE_R35C75D CTOF_DEL 0.213 7.631 1 reveal_ist_1283 NET DELAY 0.000 7.631 1 reveal_ist_128.ff_inst/DF ENDPOINT 0.000 7.631 1 Destination Clock Path { "path_begin": { "type":"pin", "log_name":"OSCA001.OSCA_inst/HFCLKOUT", "phy_name":"OSCA001.OSCA_inst/HFCLKOUT" }, "path_end": { "type":"pin", "log_name":"reveal_ist_128.ff_inst/CLK", "phy_name":"reveal_ist_128.ff_inst/CLK" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":8.888, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_143", "phy_name":"clk150" }, "arrive":11.123, "delay":2.235 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":11.123, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ---------------- --------- --------------------- ------ CONSTRAINT 0.000 8.888 1 OSCA001.OSCA_inst/HFCLKOUT OSC_CORE_OSC_CORE_R1C137 CLOCK LATENCY 0.000 8.888 336 top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_143 NET DELAY 2.235 11.123 336 reveal_ist_128.ff_inst/CLK CLOCK PIN 0.000 11.123 1 Uncertainty -(0.000) 11.123 Common Path Skew 0.028 11.151 Setup time -(-0.058) 11.209 ---------------------------------------- ------------------------ ---------------- --------- --------------------- ------ Required Time 11.209 Arrival Time -(7.630) ---------------------------------------- ------------------------ ---------------- --------- --------------------- ------ Path Slack (Passed) 3.578 ++++ Path 4 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Path Begin : top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_480/Q (SLICE_R42C70C) Path End : {top_reveal_coretop_instance/core0/trig_u/te_2/secured_instance_5_98/CE top_reveal_coretop_instance/core0/trig_u/te_2/secured_instance_5_99/CE} (SLICE_R31C61A) Source Clock : clk150 (R) Destination Clock: clk150 (R) Logic Level : 7 Delay Ratio : 68.1% (route), 31.9% (logic) Clock Skew : -0.132 ns Setup Constraint : 8.888 ns Common Path Skew : 0.028 ns Path Slack : 3.643 ns (Passed) Source Clock Path { "path_begin": { "type":"pin", "log_name":"OSCA001.OSCA_inst/HFCLKOUT", "phy_name":"OSCA001.OSCA_inst/HFCLKOUT" }, "path_end": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_479/CLK", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.Ic4KmarKEnFbc/CLK" }, "path_sections":[ { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_143", "phy_name":"clk150" }, "arrive":2.367, "delay":2.367 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.367, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ---------------- -------- --------------------- ------ OSCA001.OSCA_inst/HFCLKOUT OSC_CORE_OSC_CORE_R1C137 CLOCK LATENCY 0.000 0.000 336 top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_143 NET DELAY 2.367 2.367 336 {top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_479/CLK top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_480/CLK} CLOCK PIN 0.000 2.367 1 Data Path { "path_begin": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_480/Q", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.Ic4KmarKEnFbc/Q1" }, "path_end": { "type":"pin", "log_name":"{top_reveal_coretop_instance/core0/trig_u/te_2/secured_instance_5_98/CE top_reveal_coretop_instance/core0/trig_u/te_2/secured_instance_5_99/CE}", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.Ida5hzuEdryk1Jpoco7v016/CE" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_480/CLK", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.Ic4KmarKEnFbc/CLK" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_480/Q", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.Ic4KmarKEnFbc/Q1" }, "arrive":2.674, "delay":0.307 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_signal_2_157", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.Ne3nFILyd5q303pD9C8l[3]" }, "arrive":3.210, "delay":0.536 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_249/B", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.Ib4zmjgl42aqur7a/C0" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_249/Z", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.Ib4zmjgl42aqur7a/F0" }, "arrive":3.423, "delay":0.213 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_signal_2_583", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.Nb4zmjgl42aqur7a" }, "arrive":3.661, "delay":0.238 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_233/C", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.IcAy31tz43m18/C1" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_233/Z", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.IcAy31tz43m18/F1" }, "arrive":3.874, "delay":0.213 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/tm_u/secured_signal_1_159", "phy_name":"top_reveal_coretop_instance.core0.wen" }, "arrive":4.301, "delay":0.427 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_16_18/B", "phy_name":"top_reveal_coretop_instance.core0.trig_u.decode_u.Ifii7B9Hs8lzeEzEerH8[2]/D1" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_16_18/Z", "phy_name":"top_reveal_coretop_instance.core0.trig_u.decode_u.Ifii7B9Hs8lzeEzEerH8[2]/F1" }, "arrive":4.514, "delay":0.213 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/decode_u/secured_signal_16_27", "phy_name":"top_reveal_coretop_instance.core0.trig_u.decode_u.Nfh7o1C" }, "arrive":4.788, "delay":0.274 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_16_5/A", "phy_name":"top_reveal_coretop_instance.core0.trig_u.decode_u.Ifii7B9HtdLGHq8BId7c[0]/B1" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_16_5/Z", "phy_name":"top_reveal_coretop_instance.core0.trig_u.decode_u.Ifii7B9HtdLGHq8BId7c[0]/F1" }, "arrive":5.001, "delay":0.213 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/decode_u/secured_signal_16_28", "phy_name":"top_reveal_coretop_instance.core0.trig_u.decode_u.Nfh7o1F" }, "arrive":5.531, "delay":0.530 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_16_0/B", "phy_name":"top_reveal_coretop_instance.core0.trig_u.te_2.Izy0x4lke8ar7aLjshpH7/C1" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_16_0/Z", "phy_name":"top_reveal_coretop_instance.core0.trig_u.te_2.Izy0x4lke8ar7aLjshpH7/F1" }, "arrive":5.736, "delay":0.205 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/te_2/secured_signal_5_21", "phy_name":"top_reveal_coretop_instance.core0.trig_u.wen_te[2]" }, "arrive":6.418, "delay":0.682 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/te_2/secured_instance_5_34/D", "phy_name":"top_reveal_coretop_instance.core0.trig_u.te_2.IeBs2DksqxhEcc2E6mh2horyxarLH7/B0" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/te_2/secured_instance_5_34/Z", "phy_name":"top_reveal_coretop_instance.core0.trig_u.te_2.IeBs2DksqxhEcc2E6mh2horyxarLH7/F0" }, "arrive":6.631, "delay":0.213 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/te_2/secured_signal_5_108", "phy_name":"top_reveal_coretop_instance.core0.trig_u.te_2.NeBs2DksqxhEcc2E6mh2horyxarLH7" }, "arrive":7.315, "delay":0.684 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":7.315, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ---------------- -------- --------------------- ------ top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_480/CLK->top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_480/Q SLICE_R42C70C REG_DEL 0.307 2.674 4 top_reveal_coretop_instance/core0/jtag_int_u/secured_signal_2_157 NET DELAY 0.536 3.210 4 top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_249/B->top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_249/Z SLICE_R42C69D CTOF_DEL 0.213 3.423 1 top_reveal_coretop_instance/core0/jtag_int_u/secured_signal_2_583 NET DELAY 0.238 3.661 1 top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_233/C->top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_233/Z SLICE_R42C69C CTOF_DEL 0.213 3.874 6 top_reveal_coretop_instance/core0/tm_u/secured_signal_1_159 NET DELAY 0.427 4.301 6 top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_16_18/B->top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_16_18/Z SLICE_R41C67A CTOF_DEL 0.213 4.514 4 top_reveal_coretop_instance/core0/trig_u/decode_u/secured_signal_16_27 NET DELAY 0.274 4.788 4 top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_16_5/A->top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_16_5/Z SLICE_R41C65A CTOF_DEL 0.213 5.001 4 top_reveal_coretop_instance/core0/trig_u/decode_u/secured_signal_16_28 NET DELAY 0.530 5.531 4 top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_16_0/B->top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_16_0/Z SLICE_R38C66B CTOF_DEL 0.205 5.736 4 top_reveal_coretop_instance/core0/trig_u/te_2/secured_signal_5_21 NET DELAY 0.682 6.418 4 top_reveal_coretop_instance/core0/trig_u/te_2/secured_instance_5_34/D->top_reveal_coretop_instance/core0/trig_u/te_2/secured_instance_5_34/Z SLICE_R34C62D CTOF_DEL 0.213 6.631 1 top_reveal_coretop_instance/core0/trig_u/te_2/secured_signal_5_108 NET DELAY 0.684 7.315 1 {top_reveal_coretop_instance/core0/trig_u/te_2/secured_instance_5_98/CE top_reveal_coretop_instance/core0/trig_u/te_2/secured_instance_5_99/CE} ENDPOINT 0.000 7.315 1 Destination Clock Path { "path_begin": { "type":"pin", "log_name":"OSCA001.OSCA_inst/HFCLKOUT", "phy_name":"OSCA001.OSCA_inst/HFCLKOUT" }, "path_end": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/trig_u/te_2/secured_instance_5_98/CLK", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.Ida5hzuEdryk1Jpoco7v016/CLK" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":8.888, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_143", "phy_name":"clk150" }, "arrive":11.123, "delay":2.235 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":11.123, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ---------------- -------- --------------------- ------ CONSTRAINT 0.000 8.888 1 OSCA001.OSCA_inst/HFCLKOUT OSC_CORE_OSC_CORE_R1C137 CLOCK LATENCY 0.000 8.888 336 top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_143 NET DELAY 2.235 11.123 336 {top_reveal_coretop_instance/core0/trig_u/te_2/secured_instance_5_98/CLK top_reveal_coretop_instance/core0/trig_u/te_2/secured_instance_5_99/CLK} CLOCK PIN 0.000 11.123 1 Uncertainty -(0.000) 11.123 Common Path Skew 0.028 11.151 Setup time -(0.193) 10.958 ---------------------------------------- ------------------------ ---------------- -------- --------------------- ------ Required Time 10.958 Arrival Time -(7.314) ---------------------------------------- ------------------------ ---------------- -------- --------------------- ------ Path Slack (Passed) 3.643 ++++ Path 5 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Path Begin : top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_480/Q (SLICE_R42C70C) Path End : {top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_12_111/CE top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_12_112/CE} (SLICE_R35C61D) Source Clock : clk150 (R) Destination Clock: clk150 (R) Logic Level : 7 Delay Ratio : 67.6% (route), 32.4% (logic) Clock Skew : -0.132 ns Setup Constraint : 8.888 ns Common Path Skew : 0.028 ns Path Slack : 3.726 ns (Passed) Source Clock Path { "path_begin": { "type":"pin", "log_name":"OSCA001.OSCA_inst/HFCLKOUT", "phy_name":"OSCA001.OSCA_inst/HFCLKOUT" }, "path_end": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_479/CLK", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.Ic4KmarKEnFbc/CLK" }, "path_sections":[ { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_143", "phy_name":"clk150" }, "arrive":2.367, "delay":2.367 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.367, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ---------------- -------- --------------------- ------ OSCA001.OSCA_inst/HFCLKOUT OSC_CORE_OSC_CORE_R1C137 CLOCK LATENCY 0.000 0.000 336 top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_143 NET DELAY 2.367 2.367 336 {top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_479/CLK top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_480/CLK} CLOCK PIN 0.000 2.367 1 Data Path { "path_begin": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_480/Q", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.Ic4KmarKEnFbc/Q1" }, "path_end": { "type":"pin", "log_name":"{top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_12_111/CE top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_12_112/CE}", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.IeJDtew0zBltkd7wB018/CE" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_480/CLK", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.Ic4KmarKEnFbc/CLK" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_480/Q", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.Ic4KmarKEnFbc/Q1" }, "arrive":2.674, "delay":0.307 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_signal_2_157", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.Ne3nFILyd5q303pD9C8l[3]" }, "arrive":3.210, "delay":0.536 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_249/B", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.Ib4zmjgl42aqur7a/C0" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_249/Z", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.Ib4zmjgl42aqur7a/F0" }, "arrive":3.423, "delay":0.213 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_signal_2_583", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.Nb4zmjgl42aqur7a" }, "arrive":3.661, "delay":0.238 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_233/C", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.IcAy31tz43m18/C1" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_233/Z", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.IcAy31tz43m18/F1" }, "arrive":3.874, "delay":0.213 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/tm_u/secured_signal_1_159", "phy_name":"top_reveal_coretop_instance.core0.wen" }, "arrive":4.301, "delay":0.427 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_16_18/B", "phy_name":"top_reveal_coretop_instance.core0.trig_u.decode_u.Ifii7B9Hs8lzeEzEerH8[2]/D1" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_16_18/Z", "phy_name":"top_reveal_coretop_instance.core0.trig_u.decode_u.Ifii7B9Hs8lzeEzEerH8[2]/F1" }, "arrive":4.514, "delay":0.213 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/decode_u/secured_signal_16_27", "phy_name":"top_reveal_coretop_instance.core0.trig_u.decode_u.Nfh7o1C" }, "arrive":5.022, "delay":0.508 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_16_6/A", "phy_name":"top_reveal_coretop_instance.core0.trig_u.decode_u.Ifii7B9HtdLGHq8BId7c[1]/B1" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_16_6/Z", "phy_name":"top_reveal_coretop_instance.core0.trig_u.decode_u.Ifii7B9HtdLGHq8BId7c[1]/F1" }, "arrive":5.227, "delay":0.205 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/decode_u/secured_signal_16_30", "phy_name":"top_reveal_coretop_instance.core0.trig_u.decode_u.Nfh7o7b" }, "arrive":5.778, "delay":0.551 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_16_3/A", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.Ipfam7xdGoKjHfkmkJtvyczgttK7nLwCm7/B1" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_16_3/Z", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.Ipfam7xdGoKjHfkmkJtvyczgttK7nLwCm7/F1" }, "arrive":5.991, "delay":0.213 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_signal_12_56", "phy_name":"top_reveal_coretop_instance.core0.trig_u.wen_tu[3]" }, "arrive":6.265, "delay":0.274 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_12_5/C", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.IfmqpHfyLjihmE893lg93s3sdHIgm7/B0" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_12_5/Z", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.IfmqpHfyLjihmE893lg93s3sdHIgm7/F0" }, "arrive":6.478, "delay":0.213 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_signal_12_149", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.NfmqpHfyLjihmE893lg93s3sdHIgm7" }, "arrive":7.232, "delay":0.754 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":7.232, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ---------------- -------- --------------------- ------ top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_480/CLK->top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_480/Q SLICE_R42C70C REG_DEL 0.307 2.674 4 top_reveal_coretop_instance/core0/jtag_int_u/secured_signal_2_157 NET DELAY 0.536 3.210 4 top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_249/B->top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_249/Z SLICE_R42C69D CTOF_DEL 0.213 3.423 1 top_reveal_coretop_instance/core0/jtag_int_u/secured_signal_2_583 NET DELAY 0.238 3.661 1 top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_233/C->top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_233/Z SLICE_R42C69C CTOF_DEL 0.213 3.874 6 top_reveal_coretop_instance/core0/tm_u/secured_signal_1_159 NET DELAY 0.427 4.301 6 top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_16_18/B->top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_16_18/Z SLICE_R41C67A CTOF_DEL 0.213 4.514 4 top_reveal_coretop_instance/core0/trig_u/decode_u/secured_signal_16_27 NET DELAY 0.508 5.022 4 top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_16_6/A->top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_16_6/Z SLICE_R39C64A CTOF_DEL 0.205 5.227 3 top_reveal_coretop_instance/core0/trig_u/decode_u/secured_signal_16_30 NET DELAY 0.551 5.778 3 top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_16_3/A->top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_16_3/Z SLICE_R38C64A CTOF_DEL 0.213 5.991 3 top_reveal_coretop_instance/core0/trig_u/tu_3/secured_signal_12_56 NET DELAY 0.274 6.265 3 top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_12_5/C->top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_12_5/Z SLICE_R38C63C CTOF_DEL 0.213 6.478 8 top_reveal_coretop_instance/core0/trig_u/tu_3/secured_signal_12_149 NET DELAY 0.754 7.232 8 {top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_12_111/CE top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_12_112/CE} ENDPOINT 0.000 7.232 1 Destination Clock Path { "path_begin": { "type":"pin", "log_name":"OSCA001.OSCA_inst/HFCLKOUT", "phy_name":"OSCA001.OSCA_inst/HFCLKOUT" }, "path_end": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_12_111/CLK", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.IeJDtew0zBltkd7wB018/CLK" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":8.888, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_143", "phy_name":"clk150" }, "arrive":11.123, "delay":2.235 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":11.123, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ---------------- -------- --------------------- ------ CONSTRAINT 0.000 8.888 1 OSCA001.OSCA_inst/HFCLKOUT OSC_CORE_OSC_CORE_R1C137 CLOCK LATENCY 0.000 8.888 336 top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_143 NET DELAY 2.235 11.123 336 {top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_12_111/CLK top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_12_112/CLK} CLOCK PIN 0.000 11.123 1 Uncertainty -(0.000) 11.123 Common Path Skew 0.028 11.151 Setup time -(0.193) 10.958 ---------------------------------------- ------------------------ ---------------- -------- --------------------- ------ Required Time 10.958 Arrival Time -(7.231) ---------------------------------------- ------------------------ ---------------- -------- --------------------- ------ Path Slack (Passed) 3.726 ++++ Path 6 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Path Begin : top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_480/Q (SLICE_R42C70C) Path End : {top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_12_107/CE top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_12_108/CE} (SLICE_R30C63A) Source Clock : clk150 (R) Destination Clock: clk150 (R) Logic Level : 7 Delay Ratio : 67.4% (route), 32.6% (logic) Clock Skew : -0.132 ns Setup Constraint : 8.888 ns Common Path Skew : 0.028 ns Path Slack : 3.756 ns (Passed) Source Clock Path { "path_begin": { "type":"pin", "log_name":"OSCA001.OSCA_inst/HFCLKOUT", "phy_name":"OSCA001.OSCA_inst/HFCLKOUT" }, "path_end": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_479/CLK", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.Ic4KmarKEnFbc/CLK" }, "path_sections":[ { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_143", "phy_name":"clk150" }, "arrive":2.367, "delay":2.367 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.367, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ---------------- -------- --------------------- ------ OSCA001.OSCA_inst/HFCLKOUT OSC_CORE_OSC_CORE_R1C137 CLOCK LATENCY 0.000 0.000 336 top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_143 NET DELAY 2.367 2.367 336 {top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_479/CLK top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_480/CLK} CLOCK PIN 0.000 2.367 1 Data Path { "path_begin": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_480/Q", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.Ic4KmarKEnFbc/Q1" }, "path_end": { "type":"pin", "log_name":"{top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_12_107/CE top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_12_108/CE}", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_1.IqdwgKi79qkEwzIGgJpAaDba/CE" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_480/CLK", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.Ic4KmarKEnFbc/CLK" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_480/Q", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.Ic4KmarKEnFbc/Q1" }, "arrive":2.674, "delay":0.307 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_signal_2_157", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.Ne3nFILyd5q303pD9C8l[3]" }, "arrive":3.210, "delay":0.536 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_249/B", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.Ib4zmjgl42aqur7a/C0" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_249/Z", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.Ib4zmjgl42aqur7a/F0" }, "arrive":3.423, "delay":0.213 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_signal_2_583", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.Nb4zmjgl42aqur7a" }, "arrive":3.661, "delay":0.238 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_233/C", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.IcAy31tz43m18/C1" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_233/Z", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.IcAy31tz43m18/F1" }, "arrive":3.874, "delay":0.213 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/tm_u/secured_signal_1_159", "phy_name":"top_reveal_coretop_instance.core0.wen" }, "arrive":4.301, "delay":0.427 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_16_18/B", "phy_name":"top_reveal_coretop_instance.core0.trig_u.decode_u.Ifii7B9Hs8lzeEzEerH8[2]/D1" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_16_18/Z", "phy_name":"top_reveal_coretop_instance.core0.trig_u.decode_u.Ifii7B9Hs8lzeEzEerH8[2]/F1" }, "arrive":4.514, "delay":0.213 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/decode_u/secured_signal_16_27", "phy_name":"top_reveal_coretop_instance.core0.trig_u.decode_u.Nfh7o1C" }, "arrive":5.022, "delay":0.508 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_16_6/A", "phy_name":"top_reveal_coretop_instance.core0.trig_u.decode_u.Ifii7B9HtdLGHq8BId7c[1]/B1" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_16_6/Z", "phy_name":"top_reveal_coretop_instance.core0.trig_u.decode_u.Ifii7B9HtdLGHq8BId7c[1]/F1" }, "arrive":5.227, "delay":0.205 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/decode_u/secured_signal_16_30", "phy_name":"top_reveal_coretop_instance.core0.trig_u.decode_u.Nfh7o7b" }, "arrive":5.778, "delay":0.551 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_16_3/A", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.Ipfam7xdGoKjHfkmkJtvyczgttK7nLwCm7/B1" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_16_3/Z", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.Ipfam7xdGoKjHfkmkJtvyczgttK7nLwCm7/F1" }, "arrive":5.991, "delay":0.213 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_signal_12_56", "phy_name":"top_reveal_coretop_instance.core0.trig_u.wen_tu[3]" }, "arrive":6.265, "delay":0.274 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_12_5/C", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.IfmqpHfyLjihmE893lg93s3sdHIgm7/B0" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_12_5/Z", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.IfmqpHfyLjihmE893lg93s3sdHIgm7/F0" }, "arrive":6.478, "delay":0.213 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_signal_12_149", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.NfmqpHfyLjihmE893lg93s3sdHIgm7" }, "arrive":7.202, "delay":0.724 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":7.202, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ---------------- -------- --------------------- ------ top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_480/CLK->top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_480/Q SLICE_R42C70C REG_DEL 0.307 2.674 4 top_reveal_coretop_instance/core0/jtag_int_u/secured_signal_2_157 NET DELAY 0.536 3.210 4 top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_249/B->top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_249/Z SLICE_R42C69D CTOF_DEL 0.213 3.423 1 top_reveal_coretop_instance/core0/jtag_int_u/secured_signal_2_583 NET DELAY 0.238 3.661 1 top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_233/C->top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_233/Z SLICE_R42C69C CTOF_DEL 0.213 3.874 6 top_reveal_coretop_instance/core0/tm_u/secured_signal_1_159 NET DELAY 0.427 4.301 6 top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_16_18/B->top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_16_18/Z SLICE_R41C67A CTOF_DEL 0.213 4.514 4 top_reveal_coretop_instance/core0/trig_u/decode_u/secured_signal_16_27 NET DELAY 0.508 5.022 4 top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_16_6/A->top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_16_6/Z SLICE_R39C64A CTOF_DEL 0.205 5.227 3 top_reveal_coretop_instance/core0/trig_u/decode_u/secured_signal_16_30 NET DELAY 0.551 5.778 3 top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_16_3/A->top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_16_3/Z SLICE_R38C64A CTOF_DEL 0.213 5.991 3 top_reveal_coretop_instance/core0/trig_u/tu_3/secured_signal_12_56 NET DELAY 0.274 6.265 3 top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_12_5/C->top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_12_5/Z SLICE_R38C63C CTOF_DEL 0.213 6.478 8 top_reveal_coretop_instance/core0/trig_u/tu_3/secured_signal_12_149 NET DELAY 0.724 7.202 8 {top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_12_107/CE top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_12_108/CE} ENDPOINT 0.000 7.202 1 Destination Clock Path { "path_begin": { "type":"pin", "log_name":"OSCA001.OSCA_inst/HFCLKOUT", "phy_name":"OSCA001.OSCA_inst/HFCLKOUT" }, "path_end": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_12_107/CLK", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_1.IqdwgKi79qkEwzIGgJpAaDba/CLK" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":8.888, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_143", "phy_name":"clk150" }, "arrive":11.123, "delay":2.235 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":11.123, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ---------------- -------- --------------------- ------ CONSTRAINT 0.000 8.888 1 OSCA001.OSCA_inst/HFCLKOUT OSC_CORE_OSC_CORE_R1C137 CLOCK LATENCY 0.000 8.888 336 top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_143 NET DELAY 2.235 11.123 336 {top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_12_107/CLK top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_12_108/CLK} CLOCK PIN 0.000 11.123 1 Uncertainty -(0.000) 11.123 Common Path Skew 0.028 11.151 Setup time -(0.193) 10.958 ---------------------------------------- ------------------------ ---------------- -------- --------------------- ------ Required Time 10.958 Arrival Time -(7.201) ---------------------------------------- ------------------------ ---------------- -------- --------------------- ------ Path Slack (Passed) 3.756 ++++ Path 7 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Path Begin : top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_14_150/Q (SLICE_R34C61C) Path End : top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_14_95/DF (SLICE_R41C62D) Source Clock : clk150 (R) Destination Clock: clk150 (R) Logic Level : 10 Delay Ratio : 58.0% (route), 42.0% (logic) Clock Skew : -0.132 ns Setup Constraint : 8.888 ns Common Path Skew : 0.028 ns Path Slack : 3.762 ns (Passed) Source Clock Path { "path_begin": { "type":"pin", "log_name":"OSCA001.OSCA_inst/HFCLKOUT", "phy_name":"OSCA001.OSCA_inst/HFCLKOUT" }, "path_end": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_14_150/CLK", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_1.IdqzHCkaae4atgf2l52vbJ0A680pb1xbc/CLK" }, "path_sections":[ { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_143", "phy_name":"clk150" }, "arrive":2.367, "delay":2.367 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.367, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ---------------- --------- --------------------- ------ OSCA001.OSCA_inst/HFCLKOUT OSC_CORE_OSC_CORE_R1C137 CLOCK LATENCY 0.000 0.000 336 top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_143 NET DELAY 2.367 2.367 336 {top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_14_150/CLK top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_14_149/CLK} CLOCK PIN 0.000 2.367 1 Data Path { "path_begin": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_14_150/Q", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_1.IdqzHCkaae4atgf2l52vbJ0A680pb1xbc/Q0" }, "path_end": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_14_95/DF", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_1.Ie0fvlc4DA.ff_inst/DI0" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_14_150/CLK", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_1.IdqzHCkaae4atgf2l52vbJ0A680pb1xbc/CLK" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_14_150/Q", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_1.IdqzHCkaae4atgf2l52vbJ0A680pb1xbc/Q0" }, "arrive":2.671, "delay":0.304 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_1/secured_signal_14_105", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_1.NwKq1FBmzsxu1ADHBnhjJ[3]" }, "arrive":2.997, "delay":0.326 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_14_71/A", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_1.IdqzHCkaae4atgf2l52vbJ0A680pb1xbc/B1" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_14_71/Z", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_1.IdqzHCkaae4atgf2l52vbJ0A680pb1xbc/F1" }, "arrive":3.210, "delay":0.213 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_1/secured_signal_14_197", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_1.NjzhBHr2GnijAwxbFvzqagmEyCr" }, "arrive":3.639, "delay":0.429 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_14_72/D", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_1.IdqzHCkaae4atgf2l52vbJ0A680pb1xbc/B0" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_14_72/Z", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_1.IdqzHCkaae4atgf2l52vbJ0A680pb1xbc/F0" }, "arrive":3.844, "delay":0.205 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_1/secured_signal_14_160", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_1.Nf4H8HwGahC46npu56oLynGhHkotr5" }, "arrive":4.415, "delay":0.571 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_14_78/A0", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_1.IqdwgKi79qkEwzIGgJp6Hxba/A0" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_14_78/COUT", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_1.IqdwgKi79qkEwzIGgJp6Hxba/FCO" }, "arrive":4.731, "delay":0.316 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_1/secured_signal_14_111", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_1.NjzhBHr2GnijAwxcxurFentaE3a[2]" }, "arrive":4.731, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_14_77/CIN", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_1.IqdwgKi79qkEwzIGgJp35b7a/FCI" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_14_77/COUT", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_1.IqdwgKi79qkEwzIGgJp35b7a/FCO" }, "arrive":4.785, "delay":0.054 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_1/secured_signal_14_110", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_1.NjzhBHr2GnijAwxcxurFentaE3a[4]" }, "arrive":4.785, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_14_76/CIN", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_1.IqdwgKi79qkEwzIGgJp0z77a/FCI" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_14_76/COUT", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_1.IqdwgKi79qkEwzIGgJp0z77a/FCO" }, "arrive":4.839, "delay":0.054 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_1/secured_signal_14_109", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_1.NjzhBHr2GnijAwxcxurFentaE3a[6]" }, "arrive":4.839, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_14_75/CIN", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_1.IqdwgKi79qkEwzIGgJpAaDba/FCI" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_14_75/S1", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_1.IqdwgKi79qkEwzIGgJpAaDba/F1" }, "arrive":5.119, "delay":0.280 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_1/secured_signal_14_130", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_1.NbcCj0hnGbwlAcxGFJcDfs1p4kmCr" }, "arrive":5.665, "delay":0.546 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_14_52/B", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_2.Iqdwih5fnEIfCyvfjH35whIB[8]/C1" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_14_52/Z", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_2.Iqdwih5fnEIfCyvfjH35whIB[8]/F1" }, "arrive":5.878, "delay":0.213 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_1/secured_signal_14_163", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_1.Ne1IDB4vm9" }, "arrive":6.405, "delay":0.527 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_14_90/D", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_1.ImmjHJuzggHxba/C1" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_14_90/Z", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_1.ImmjHJuzggHxba/OFX0" }, "arrive":6.689, "delay":0.284 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_1/secured_signal_14_165", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_1.NKvlC" }, "arrive":7.234, "delay":0.545 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_14_58/B", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_1.Ie0fvlc4DA.ff_inst/D0" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_14_58/Z", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_1.Ie0fvlc4DA.ff_inst/F0" }, "arrive":7.447, "delay":0.213 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_1/secured_signal_14_150", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_1.Ncd" }, "arrive":7.447, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":7.447, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ---------------- --------- --------------------- ------ top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_14_150/CLK->top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_14_150/Q SLICE_R34C61C REG_DEL 0.304 2.671 3 top_reveal_coretop_instance/core0/trig_u/tu_1/secured_signal_14_105 NET DELAY 0.326 2.997 3 top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_14_71/A->top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_14_71/Z SLICE_R34C61C CTOF_DEL 0.213 3.210 1 top_reveal_coretop_instance/core0/trig_u/tu_1/secured_signal_14_197 NET DELAY 0.429 3.639 1 top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_14_72/D->top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_14_72/Z SLICE_R34C61C CTOF_DEL 0.205 3.844 1 top_reveal_coretop_instance/core0/trig_u/tu_1/secured_signal_14_160 NET DELAY 0.571 4.415 1 top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_14_78/A0->top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_14_78/COUT SLICE_R30C62B C0TOFCO_DEL 0.316 4.731 1 top_reveal_coretop_instance/core0/trig_u/tu_1/secured_signal_14_111 NET DELAY 0.000 4.731 1 top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_14_77/CIN->top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_14_77/COUT SLICE_R30C62C FCITOFCO_DEL 0.054 4.785 1 top_reveal_coretop_instance/core0/trig_u/tu_1/secured_signal_14_110 NET DELAY 0.000 4.785 1 top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_14_76/CIN->top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_14_76/COUT SLICE_R30C62D FCITOFCO_DEL 0.054 4.839 1 top_reveal_coretop_instance/core0/trig_u/tu_1/secured_signal_14_109 NET DELAY 0.000 4.839 1 top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_14_75/CIN->top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_14_75/S1 SLICE_R30C63A FCITOF1_DEL 0.280 5.119 3 top_reveal_coretop_instance/core0/trig_u/tu_1/secured_signal_14_130 NET DELAY 0.546 5.665 3 top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_14_52/B->top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_14_52/Z SLICE_R34C65A CTOF_DEL 0.213 5.878 1 top_reveal_coretop_instance/core0/trig_u/tu_1/secured_signal_14_163 NET DELAY 0.527 6.405 1 top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_14_90/D->top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_14_90/Z SLICE_R34C62C CTOOF_DEL 0.284 6.689 1 top_reveal_coretop_instance/core0/trig_u/tu_1/secured_signal_14_165 NET DELAY 0.545 7.234 1 top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_14_58/B->top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_14_58/Z SLICE_R41C62D CTOF_DEL 0.213 7.447 1 top_reveal_coretop_instance/core0/trig_u/tu_1/secured_signal_14_150 NET DELAY 0.000 7.447 1 top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_14_95/DF ENDPOINT 0.000 7.447 1 Destination Clock Path { "path_begin": { "type":"pin", "log_name":"OSCA001.OSCA_inst/HFCLKOUT", "phy_name":"OSCA001.OSCA_inst/HFCLKOUT" }, "path_end": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_14_95/CLK", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_1.Ie0fvlc4DA.ff_inst/CLK" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":8.888, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_143", "phy_name":"clk150" }, "arrive":11.123, "delay":2.235 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":11.123, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ---------------- --------- --------------------- ------ CONSTRAINT 0.000 8.888 1 OSCA001.OSCA_inst/HFCLKOUT OSC_CORE_OSC_CORE_R1C137 CLOCK LATENCY 0.000 8.888 336 top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_143 NET DELAY 2.235 11.123 336 {top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_14_95/CLK top_reveal_coretop_instance/core0/trig_u/tu_0/secured_instance_15_18/CLK} CLOCK PIN 0.000 11.123 1 Uncertainty -(0.000) 11.123 Common Path Skew 0.028 11.151 Setup time -(-0.058) 11.209 ---------------------------------------- ------------------------ ---------------- --------- --------------------- ------ Required Time 11.209 Arrival Time -(7.446) ---------------------------------------- ------------------------ ---------------- --------- --------------------- ------ Path Slack (Passed) 3.762 ++++ Path 8 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Path Begin : top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_11_100/Q (SLICE_R33C64D) Path End : top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_11_95/DF (SLICE_R41C65D) Source Clock : clk150 (R) Destination Clock: clk150 (R) Logic Level : 11 Delay Ratio : 56.8% (route), 43.2% (logic) Clock Skew : -0.132 ns Setup Constraint : 8.888 ns Common Path Skew : 0.028 ns Path Slack : 3.770 ns (Passed) Source Clock Path { "path_begin": { "type":"pin", "log_name":"OSCA001.OSCA_inst/HFCLKOUT", "phy_name":"OSCA001.OSCA_inst/HFCLKOUT" }, "path_end": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_11_99/CLK", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_2.IqdwgKi79qkEwzIGgJp0z77a/CLK" }, "path_sections":[ { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_143", "phy_name":"clk150" }, "arrive":2.367, "delay":2.367 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.367, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ---------------- --------- --------------------- ------ OSCA001.OSCA_inst/HFCLKOUT OSC_CORE_OSC_CORE_R1C137 CLOCK LATENCY 0.000 0.000 336 top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_143 NET DELAY 2.367 2.367 336 {top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_11_99/CLK top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_11_100/CLK} CLOCK PIN 0.000 2.367 1 Data Path { "path_begin": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_11_100/Q", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_2.IqdwgKi79qkEwzIGgJp0z77a/Q1" }, "path_end": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_11_95/DF", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_5.Ie0fvlc4DA.ff_inst/DI1" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_11_100/CLK", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_2.IqdwgKi79qkEwzIGgJp0z77a/CLK" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_11_100/Q", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_2.IqdwgKi79qkEwzIGgJp0z77a/Q1" }, "arrive":2.674, "delay":0.307 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_4/secured_signal_11_88", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_4.NhL6rK7kjDdr78xjJ[1]" }, "arrive":3.154, "delay":0.480 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_11_59/B", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_4.I4eFkhGaaF4d2hcoiIp8tmBEDKoC9wq/A1" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_11_59/Z", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_4.I4eFkhGaaF4d2hcoiIp8tmBEDKoC9wq/F1" }, "arrive":3.367, "delay":0.213 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_4/secured_signal_11_191", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_4.NbB8wfgotIwzDEkpqBbBwmEyCr" }, "arrive":3.770, "delay":0.403 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_11_60/D", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_4.I4eFkhGaaF4d2hcoiIp8tmBEDKoC9wq/C0" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_11_60/Z", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_4.I4eFkhGaaF4d2hcoiIp8tmBEDKoC9wq/F0" }, "arrive":3.983, "delay":0.213 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_4/secured_signal_11_162", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_4.NbcCj0hnGbwlAcxGFJcCzcy1FHvgL" }, "arrive":4.592, "delay":0.609 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_11_79/A1", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_4.Ida5ho54p4cb2kFtHoxIdwq/A1" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_11_79/COUT", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_4.Ida5ho54p4cb2kFtHoxIdwq/FCO" }, "arrive":4.908, "delay":0.316 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_4/secured_signal_11_112", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_4.NjzhBHr2GnijAwxcxurFentaE3a[0]" }, "arrive":4.908, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_11_78/CIN", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_4.IqdwgKi79qkEwzIGgJp6Hxba/FCI" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_11_78/COUT", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_4.IqdwgKi79qkEwzIGgJp6Hxba/FCO" }, "arrive":4.962, "delay":0.054 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_4/secured_signal_11_111", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_4.NjzhBHr2GnijAwxcxurFentaE3a[2]" }, "arrive":4.962, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_11_77/CIN", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_4.IqdwgKi79qkEwzIGgJp35b7a/FCI" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_11_77/COUT", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_4.IqdwgKi79qkEwzIGgJp35b7a/FCO" }, "arrive":5.016, "delay":0.054 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_4/secured_signal_11_110", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_4.NjzhBHr2GnijAwxcxurFentaE3a[4]" }, "arrive":5.016, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_11_76/CIN", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_4.IqdwgKi79qkEwzIGgJp0z77a/FCI" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_11_76/COUT", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_4.IqdwgKi79qkEwzIGgJp0z77a/FCO" }, "arrive":5.070, "delay":0.054 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_4/secured_signal_11_109", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_4.NjzhBHr2GnijAwxcxurFentaE3a[6]" }, "arrive":5.070, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_11_75/CIN", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_4.IqdwgKi79qkEwzIGgJpAaDba/FCI" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_11_75/S1", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_4.IqdwgKi79qkEwzIGgJpAaDba/F1" }, "arrive":5.350, "delay":0.280 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_4/secured_signal_11_130", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_4.NbcCj0hnGbwlAcxGFJcDfs1p4kmCr" }, "arrive":6.134, "delay":0.784 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_11_52/B", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_4.Ipfam7xdGoKjHfkmkJtvyczIdgq1CCkF2i[2]/A1" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_11_52/Z", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_4.Ipfam7xdGoKjHfkmkJtvyczIdgq1CCkF2i[2]/F1" }, "arrive":6.347, "delay":0.213 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_4/secured_signal_11_163", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_4.Ne1IDB4vm9" }, "arrive":6.503, "delay":0.156 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_11_90/D", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_4.ImmjHJuzggHxba/B1" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_11_90/Z", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_4.ImmjHJuzggHxba/OFX0" }, "arrive":6.776, "delay":0.273 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_4/secured_signal_11_165", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_4.NKvlC" }, "arrive":7.225, "delay":0.449 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_11_58/B", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_5.Ie0fvlc4DA.ff_inst/B1" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_11_58/Z", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_5.Ie0fvlc4DA.ff_inst/F1" }, "arrive":7.438, "delay":0.213 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_4/secured_signal_11_150", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_4.Ncd" }, "arrive":7.438, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":7.438, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ---------------- --------- --------------------- ------ top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_11_100/CLK->top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_11_100/Q SLICE_R33C64D REG_DEL 0.307 2.674 3 top_reveal_coretop_instance/core0/trig_u/tu_4/secured_signal_11_88 NET DELAY 0.480 3.154 3 top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_11_59/B->top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_11_59/Z SLICE_R32C65B CTOF_DEL 0.213 3.367 1 top_reveal_coretop_instance/core0/trig_u/tu_4/secured_signal_11_191 NET DELAY 0.403 3.770 1 top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_11_60/D->top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_11_60/Z SLICE_R32C65B CTOF_DEL 0.213 3.983 1 top_reveal_coretop_instance/core0/trig_u/tu_4/secured_signal_11_162 NET DELAY 0.609 4.592 1 top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_11_79/A1->top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_11_79/COUT SLICE_R30C69A C1TOFCO_DEL 0.316 4.908 1 top_reveal_coretop_instance/core0/trig_u/tu_4/secured_signal_11_112 NET DELAY 0.000 4.908 1 top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_11_78/CIN->top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_11_78/COUT SLICE_R30C69B FCITOFCO_DEL 0.054 4.962 1 top_reveal_coretop_instance/core0/trig_u/tu_4/secured_signal_11_111 NET DELAY 0.000 4.962 1 top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_11_77/CIN->top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_11_77/COUT SLICE_R30C69C FCITOFCO_DEL 0.054 5.016 1 top_reveal_coretop_instance/core0/trig_u/tu_4/secured_signal_11_110 NET DELAY 0.000 5.016 1 top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_11_76/CIN->top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_11_76/COUT SLICE_R30C69D FCITOFCO_DEL 0.054 5.070 1 top_reveal_coretop_instance/core0/trig_u/tu_4/secured_signal_11_109 NET DELAY 0.000 5.070 1 top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_11_75/CIN->top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_11_75/S1 SLICE_R30C70A FCITOF1_DEL 0.280 5.350 3 top_reveal_coretop_instance/core0/trig_u/tu_4/secured_signal_11_130 NET DELAY 0.784 6.134 3 top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_11_52/B->top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_11_52/Z SLICE_R35C66B CTOF_DEL 0.213 6.347 1 top_reveal_coretop_instance/core0/trig_u/tu_4/secured_signal_11_163 NET DELAY 0.156 6.503 1 top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_11_90/D->top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_11_90/Z SLICE_R35C66A CTOOF_DEL 0.273 6.776 1 top_reveal_coretop_instance/core0/trig_u/tu_4/secured_signal_11_165 NET DELAY 0.449 7.225 1 top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_11_58/B->top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_11_58/Z SLICE_R41C65D CTOF_DEL 0.213 7.438 1 top_reveal_coretop_instance/core0/trig_u/tu_4/secured_signal_11_150 NET DELAY 0.000 7.438 1 top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_11_95/DF ENDPOINT 0.000 7.438 1 Destination Clock Path { "path_begin": { "type":"pin", "log_name":"OSCA001.OSCA_inst/HFCLKOUT", "phy_name":"OSCA001.OSCA_inst/HFCLKOUT" }, "path_end": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_5/secured_instance_10_18/CLK", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_5.Ie0fvlc4DA.ff_inst/CLK" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":8.888, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_143", "phy_name":"clk150" }, "arrive":11.123, "delay":2.235 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":11.123, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ---------------- --------- --------------------- ------ CONSTRAINT 0.000 8.888 1 OSCA001.OSCA_inst/HFCLKOUT OSC_CORE_OSC_CORE_R1C137 CLOCK LATENCY 0.000 8.888 336 top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_143 NET DELAY 2.235 11.123 336 {top_reveal_coretop_instance/core0/trig_u/tu_5/secured_instance_10_18/CLK top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_11_95/CLK} CLOCK PIN 0.000 11.123 1 Uncertainty -(0.000) 11.123 Common Path Skew 0.028 11.151 Setup time -(-0.057) 11.208 ---------------------------------------- ------------------------ ---------------- --------- --------------------- ------ Required Time 11.208 Arrival Time -(7.437) ---------------------------------------- ------------------------ ---------------- --------- --------------------- ------ Path Slack (Passed) 3.770 ++++ Path 9 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Path Begin : top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_12_100/Q (SLICE_R36C63D) Path End : top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_12_95/DF (SLICE_R39C63D) Source Clock : clk150 (R) Destination Clock: clk150 (R) Logic Level : 11 Delay Ratio : 55.3% (route), 44.7% (logic) Clock Skew : -0.132 ns Setup Constraint : 8.888 ns Common Path Skew : 0.028 ns Path Slack : 3.937 ns (Passed) Source Clock Path { "path_begin": { "type":"pin", "log_name":"OSCA001.OSCA_inst/HFCLKOUT", "phy_name":"OSCA001.OSCA_inst/HFCLKOUT" }, "path_end": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_12_99/CLK", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_2.I1fEtAHy4nzLlxF4uC9wq/CLK" }, "path_sections":[ { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_143", "phy_name":"clk150" }, "arrive":2.367, "delay":2.367 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.367, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ---------------- --------- --------------------- ------ OSCA001.OSCA_inst/HFCLKOUT OSC_CORE_OSC_CORE_R1C137 CLOCK LATENCY 0.000 0.000 336 top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_143 NET DELAY 2.367 2.367 336 {top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_12_99/CLK top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_12_100/CLK} CLOCK PIN 0.000 2.367 1 Data Path { "path_begin": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_12_100/Q", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_2.I1fEtAHy4nzLlxF4uC9wq/Q1" }, "path_end": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_12_95/DF", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.Ie0fvlc4DA.ff_inst/DI0" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_12_100/CLK", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_2.I1fEtAHy4nzLlxF4uC9wq/CLK" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_12_100/Q", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_2.I1fEtAHy4nzLlxF4uC9wq/Q1" }, "arrive":2.674, "delay":0.307 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_signal_12_88", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.NhL6rK7kjDdr78xjJ[1]" }, "arrive":3.078, "delay":0.404 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_12_59/B", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.I4eFkhGaaF4d2hcoiIp8tmBEDKoC9wq/B1" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_12_59/Z", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.I4eFkhGaaF4d2hcoiIp8tmBEDKoC9wq/F1" }, "arrive":3.291, "delay":0.213 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_signal_12_191", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.NbB8wfgotIwzDEkpqBbBwmEyCr" }, "arrive":3.690, "delay":0.399 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_12_60/D", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.I4eFkhGaaF4d2hcoiIp8tmBEDKoC9wq/B0" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_12_60/Z", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.I4eFkhGaaF4d2hcoiIp8tmBEDKoC9wq/F0" }, "arrive":3.895, "delay":0.205 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_signal_12_162", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.NbcCj0hnGbwlAcxGFJcCzcy1FHvgL" }, "arrive":4.677, "delay":0.782 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_12_79/A1", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.Ida5ho54p4cb2kFtHoxIdwq/A1" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_12_79/COUT", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.Ida5ho54p4cb2kFtHoxIdwq/FCO" }, "arrive":4.993, "delay":0.316 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_signal_12_112", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.NjzhBHr2GnijAwxcxurFentaE3a[0]" }, "arrive":4.993, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_12_78/CIN", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.IqdwgKi79qkEwzIGgJp6Hxba/FCI" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_12_78/COUT", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.IqdwgKi79qkEwzIGgJp6Hxba/FCO" }, "arrive":5.047, "delay":0.054 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_signal_12_111", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.NjzhBHr2GnijAwxcxurFentaE3a[2]" }, "arrive":5.047, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_12_77/CIN", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.IqdwgKi79qkEwzIGgJp35b7a/FCI" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_12_77/COUT", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.IqdwgKi79qkEwzIGgJp35b7a/FCO" }, "arrive":5.101, "delay":0.054 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_signal_12_110", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.NjzhBHr2GnijAwxcxurFentaE3a[4]" }, "arrive":5.101, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_12_76/CIN", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.IqdwgKi79qkEwzIGgJp0z77a/FCI" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_12_76/COUT", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.IqdwgKi79qkEwzIGgJp0z77a/FCO" }, "arrive":5.155, "delay":0.054 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_signal_12_109", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.NjzhBHr2GnijAwxcxurFentaE3a[6]" }, "arrive":5.155, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_12_75/CIN", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.IqdwgKi79qkEwzIGgJpAaDba/FCI" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_12_75/S1", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.IqdwgKi79qkEwzIGgJpAaDba/F1" }, "arrive":5.435, "delay":0.280 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_signal_12_130", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.NbcCj0hnGbwlAcxGFJcDfs1p4kmCr" }, "arrive":6.177, "delay":0.742 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_12_52/B", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.Ipfam7xdGoKjHfkmkJtvyczIdgq1CCkF2i[2]/B1" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_12_52/Z", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.Ipfam7xdGoKjHfkmkJtvyczIdgq1CCkF2i[2]/F1" }, "arrive":6.390, "delay":0.213 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_signal_12_163", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.Ne1IDB4vm9" }, "arrive":6.550, "delay":0.160 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_12_90/D", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.ImmjHJuzggHxba/C1" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_12_90/Z", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.ImmjHJuzggHxba/OFX0" }, "arrive":6.834, "delay":0.284 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_signal_12_165", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.NKvlC" }, "arrive":7.059, "delay":0.225 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_12_58/B", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.Ie0fvlc4DA.ff_inst/D0" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_12_58/Z", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.Ie0fvlc4DA.ff_inst/F0" }, "arrive":7.272, "delay":0.213 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_signal_12_150", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.Ncd" }, "arrive":7.272, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":7.272, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ---------------- --------- --------------------- ------ top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_12_100/CLK->top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_12_100/Q SLICE_R36C63D REG_DEL 0.307 2.674 3 top_reveal_coretop_instance/core0/trig_u/tu_3/secured_signal_12_88 NET DELAY 0.404 3.078 3 top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_12_59/B->top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_12_59/Z SLICE_R35C60D CTOF_DEL 0.213 3.291 1 top_reveal_coretop_instance/core0/trig_u/tu_3/secured_signal_12_191 NET DELAY 0.399 3.690 1 top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_12_60/D->top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_12_60/Z SLICE_R35C60D CTOF_DEL 0.205 3.895 1 top_reveal_coretop_instance/core0/trig_u/tu_3/secured_signal_12_162 NET DELAY 0.782 4.677 1 top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_12_79/A1->top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_12_79/COUT SLICE_R27C64A C1TOFCO_DEL 0.316 4.993 1 top_reveal_coretop_instance/core0/trig_u/tu_3/secured_signal_12_112 NET DELAY 0.000 4.993 1 top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_12_78/CIN->top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_12_78/COUT SLICE_R27C64B FCITOFCO_DEL 0.054 5.047 1 top_reveal_coretop_instance/core0/trig_u/tu_3/secured_signal_12_111 NET DELAY 0.000 5.047 1 top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_12_77/CIN->top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_12_77/COUT SLICE_R27C64C FCITOFCO_DEL 0.054 5.101 1 top_reveal_coretop_instance/core0/trig_u/tu_3/secured_signal_12_110 NET DELAY 0.000 5.101 1 top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_12_76/CIN->top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_12_76/COUT SLICE_R27C64D FCITOFCO_DEL 0.054 5.155 1 top_reveal_coretop_instance/core0/trig_u/tu_3/secured_signal_12_109 NET DELAY 0.000 5.155 1 top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_12_75/CIN->top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_12_75/S1 SLICE_R27C65A FCITOF1_DEL 0.280 5.435 3 top_reveal_coretop_instance/core0/trig_u/tu_3/secured_signal_12_130 NET DELAY 0.742 6.177 3 top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_12_52/B->top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_12_52/Z SLICE_R39C61C CTOF_DEL 0.213 6.390 1 top_reveal_coretop_instance/core0/trig_u/tu_3/secured_signal_12_163 NET DELAY 0.160 6.550 1 top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_12_90/D->top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_12_90/Z SLICE_R39C61B CTOOF_DEL 0.284 6.834 1 top_reveal_coretop_instance/core0/trig_u/tu_3/secured_signal_12_165 NET DELAY 0.225 7.059 1 top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_12_58/B->top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_12_58/Z SLICE_R39C63D CTOF_DEL 0.213 7.272 1 top_reveal_coretop_instance/core0/trig_u/tu_3/secured_signal_12_150 NET DELAY 0.000 7.272 1 top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_12_95/DF ENDPOINT 0.000 7.272 1 Destination Clock Path { "path_begin": { "type":"pin", "log_name":"OSCA001.OSCA_inst/HFCLKOUT", "phy_name":"OSCA001.OSCA_inst/HFCLKOUT" }, "path_end": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_12_95/CLK", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.Ie0fvlc4DA.ff_inst/CLK" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":8.888, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_143", "phy_name":"clk150" }, "arrive":11.123, "delay":2.235 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":11.123, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ---------------- --------- --------------------- ------ CONSTRAINT 0.000 8.888 1 OSCA001.OSCA_inst/HFCLKOUT OSC_CORE_OSC_CORE_R1C137 CLOCK LATENCY 0.000 8.888 336 top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_143 NET DELAY 2.235 11.123 336 {top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_12_95/CLK top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_13_95/CLK} CLOCK PIN 0.000 11.123 1 Uncertainty -(0.000) 11.123 Common Path Skew 0.028 11.151 Setup time -(-0.058) 11.209 ---------------------------------------- ------------------------ ---------------- --------- --------------------- ------ Required Time 11.209 Arrival Time -(7.271) ---------------------------------------- ------------------------ ---------------- --------- --------------------- ------ Path Slack (Passed) 3.937 ++++ Path 10 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Path Begin : top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_13_132/Q (SLICE_R35C66C) Path End : top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_13_95/DF (SLICE_R39C63D) Source Clock : clk150 (R) Destination Clock: clk150 (R) Logic Level : 11 Delay Ratio : 55.1% (route), 44.9% (logic) Clock Skew : -0.132 ns Setup Constraint : 8.888 ns Common Path Skew : 0.028 ns Path Slack : 3.957 ns (Passed) Source Clock Path { "path_begin": { "type":"pin", "log_name":"OSCA001.OSCA_inst/HFCLKOUT", "phy_name":"OSCA001.OSCA_inst/HFCLKOUT" }, "path_end": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_13_132/CLK", "phy_name":"top_reveal_coretop_instance.core0.trig_u.te_2.IJAFgdveAcL0sjz77b/CLK" }, "path_sections":[ { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_143", "phy_name":"clk150" }, "arrive":2.367, "delay":2.367 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.367, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ---------------- --------- --------------------- ------ OSCA001.OSCA_inst/HFCLKOUT OSC_CORE_OSC_CORE_R1C137 CLOCK LATENCY 0.000 0.000 336 top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_143 NET DELAY 2.367 2.367 336 {top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_13_132/CLK top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_13_133/CLK} CLOCK PIN 0.000 2.367 1 Data Path { "path_begin": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_13_132/Q", "phy_name":"top_reveal_coretop_instance.core0.trig_u.te_2.IJAFgdveAcL0sjz77b/Q0" }, "path_end": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_13_95/DF", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.Ie0fvlc4DA.ff_inst/DI1" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_13_132/CLK", "phy_name":"top_reveal_coretop_instance.core0.trig_u.te_2.IJAFgdveAcL0sjz77b/CLK" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_13_132/Q", "phy_name":"top_reveal_coretop_instance.core0.trig_u.te_2.IJAFgdveAcL0sjz77b/Q0" }, "arrive":2.671, "delay":0.304 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_2/secured_signal_13_132", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_2.Nc8pHcEsIxIrb" }, "arrive":3.199, "delay":0.528 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_13_59/C", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_2.I4eFkhGaaF4d2hcoiIp8tmBEDKoC9wq/D1" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_13_59/Z", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_2.I4eFkhGaaF4d2hcoiIp8tmBEDKoC9wq/F1" }, "arrive":3.412, "delay":0.213 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_2/secured_signal_13_191", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_2.NbB8wfgotIwzDEkpqBbBwmEyCr" }, "arrive":3.832, "delay":0.420 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_13_60/D", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_2.I4eFkhGaaF4d2hcoiIp8tmBEDKoC9wq/A0" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_13_60/Z", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_2.I4eFkhGaaF4d2hcoiIp8tmBEDKoC9wq/F0" }, "arrive":4.045, "delay":0.213 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_2/secured_signal_13_162", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_2.NbcCj0hnGbwlAcxGFJcCzcy1FHvgL" }, "arrive":4.562, "delay":0.517 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_13_79/A1", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_2.Ida5ho54p4cb2kFtHoxIdwq/A1" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_13_79/COUT", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_2.Ida5ho54p4cb2kFtHoxIdwq/FCO" }, "arrive":4.878, "delay":0.316 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_2/secured_signal_13_112", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_2.NjzhBHr2GnijAwxcxurFentaE3a[0]" }, "arrive":4.878, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_13_78/CIN", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_2.IqdwgKi79qkEwzIGgJp6Hxba/FCI" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_13_78/COUT", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_2.IqdwgKi79qkEwzIGgJp6Hxba/FCO" }, "arrive":4.932, "delay":0.054 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_2/secured_signal_13_111", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_2.NjzhBHr2GnijAwxcxurFentaE3a[2]" }, "arrive":4.932, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_13_77/CIN", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_2.IqdwgKi79qkEwzIGgJp35b7a/FCI" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_13_77/COUT", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_2.IqdwgKi79qkEwzIGgJp35b7a/FCO" }, "arrive":4.986, "delay":0.054 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_2/secured_signal_13_110", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_2.NjzhBHr2GnijAwxcxurFentaE3a[4]" }, "arrive":4.986, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_13_76/CIN", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_2.IqdwgKi79qkEwzIGgJp0z77a/FCI" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_13_76/COUT", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_2.IqdwgKi79qkEwzIGgJp0z77a/FCO" }, "arrive":5.040, "delay":0.054 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_2/secured_signal_13_109", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_2.NjzhBHr2GnijAwxcxurFentaE3a[6]" }, "arrive":5.040, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_13_75/CIN", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_2.IqdwgKi79qkEwzIGgJpAaDba/FCI" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_13_75/S1", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_2.IqdwgKi79qkEwzIGgJpAaDba/F1" }, "arrive":5.320, "delay":0.280 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_2/secured_signal_13_130", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_2.NbcCj0hnGbwlAcxGFJcDfs1p4kmCr" }, "arrive":5.872, "delay":0.552 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_13_52/B", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_2.Ipfam7xdGoKjHfkmkJtvyczIdgq1CCkF2i[2]/D1" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_13_52/Z", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_2.Ipfam7xdGoKjHfkmkJtvyczIdgq1CCkF2i[2]/F1" }, "arrive":6.085, "delay":0.213 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_2/secured_signal_13_163", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_2.Ne1IDB4vm9" }, "arrive":6.422, "delay":0.337 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_13_90/D", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_2.ImmjHJuzggHxba/D1" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_13_90/Z", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_2.ImmjHJuzggHxba/OFX0" }, "arrive":6.699, "delay":0.277 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_2/secured_signal_13_165", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_2.NKvlC" }, "arrive":7.038, "delay":0.339 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_13_58/B", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.Ie0fvlc4DA.ff_inst/D1" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_13_58/Z", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.Ie0fvlc4DA.ff_inst/F1" }, "arrive":7.251, "delay":0.213 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_2/secured_signal_13_150", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_2.Ncd" }, "arrive":7.251, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":7.251, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ---------------- --------- --------------------- ------ top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_13_132/CLK->top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_13_132/Q SLICE_R35C66C REG_DEL 0.304 2.671 5 top_reveal_coretop_instance/core0/trig_u/tu_2/secured_signal_13_132 NET DELAY 0.528 3.199 5 top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_13_59/C->top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_13_59/Z SLICE_R36C62B CTOF_DEL 0.213 3.412 1 top_reveal_coretop_instance/core0/trig_u/tu_2/secured_signal_13_191 NET DELAY 0.420 3.832 1 top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_13_60/D->top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_13_60/Z SLICE_R36C62B CTOF_DEL 0.213 4.045 1 top_reveal_coretop_instance/core0/trig_u/tu_2/secured_signal_13_162 NET DELAY 0.517 4.562 1 top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_13_79/A1->top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_13_79/COUT SLICE_R33C64A C1TOFCO_DEL 0.316 4.878 1 top_reveal_coretop_instance/core0/trig_u/tu_2/secured_signal_13_112 NET DELAY 0.000 4.878 1 top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_13_78/CIN->top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_13_78/COUT SLICE_R33C64B FCITOFCO_DEL 0.054 4.932 1 top_reveal_coretop_instance/core0/trig_u/tu_2/secured_signal_13_111 NET DELAY 0.000 4.932 1 top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_13_77/CIN->top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_13_77/COUT SLICE_R33C64C FCITOFCO_DEL 0.054 4.986 1 top_reveal_coretop_instance/core0/trig_u/tu_2/secured_signal_13_110 NET DELAY 0.000 4.986 1 top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_13_76/CIN->top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_13_76/COUT SLICE_R33C64D FCITOFCO_DEL 0.054 5.040 1 top_reveal_coretop_instance/core0/trig_u/tu_2/secured_signal_13_109 NET DELAY 0.000 5.040 1 top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_13_75/CIN->top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_13_75/S1 SLICE_R33C65A FCITOF1_DEL 0.280 5.320 3 top_reveal_coretop_instance/core0/trig_u/tu_2/secured_signal_13_130 NET DELAY 0.552 5.872 3 top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_13_52/B->top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_13_52/Z SLICE_R38C63D CTOF_DEL 0.213 6.085 1 top_reveal_coretop_instance/core0/trig_u/tu_2/secured_signal_13_163 NET DELAY 0.337 6.422 1 top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_13_90/D->top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_13_90/Z SLICE_R38C64C CTOOF_DEL 0.277 6.699 1 top_reveal_coretop_instance/core0/trig_u/tu_2/secured_signal_13_165 NET DELAY 0.339 7.038 1 top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_13_58/B->top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_13_58/Z SLICE_R39C63D CTOF_DEL 0.213 7.251 1 top_reveal_coretop_instance/core0/trig_u/tu_2/secured_signal_13_150 NET DELAY 0.000 7.251 1 top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_13_95/DF ENDPOINT 0.000 7.251 1 Destination Clock Path { "path_begin": { "type":"pin", "log_name":"OSCA001.OSCA_inst/HFCLKOUT", "phy_name":"OSCA001.OSCA_inst/HFCLKOUT" }, "path_end": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_12_95/CLK", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.Ie0fvlc4DA.ff_inst/CLK" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":8.888, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_143", "phy_name":"clk150" }, "arrive":11.123, "delay":2.235 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":11.123, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ---------------- --------- --------------------- ------ CONSTRAINT 0.000 8.888 1 OSCA001.OSCA_inst/HFCLKOUT OSC_CORE_OSC_CORE_R1C137 CLOCK LATENCY 0.000 8.888 336 top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_143 NET DELAY 2.235 11.123 336 {top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_12_95/CLK top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_13_95/CLK} CLOCK PIN 0.000 11.123 1 Uncertainty -(0.000) 11.123 Common Path Skew 0.028 11.151 Setup time -(-0.057) 11.208 ---------------------------------------- ------------------------ ---------------- --------- --------------------- ------ Required Time 11.208 Arrival Time -(7.250) ---------------------------------------- ------------------------ ---------------- --------- --------------------- ------ Path Slack (Passed) 3.957 +++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ End of Detailed Report for timing paths +++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ ########################################################## 3 Setup at Speed Grade 9_High-Performance_1.0V Corner at 0 Degrees 3.1 Clock Summary 3.1.1 Clock "clk150" create_clock -name {clk150} -period 8.88889 [get_pins {OSCA001.OSCA_inst/HFCLKOUT }] Single Clock Domain ------------------------------------------------------------------------------------------------------- Clock clk150 | | Period | Frequency ------------------------------------------------------------------------------------------------------- From clk150 | Target | 8.889 ns | 112.500 MHz | Actual (all paths) | 5.940 ns | 168.350 MHz OSCA001.OSCA_inst/HFCLKOUT (MPW) | (50% duty cycle) | 4.354 ns | 229.674 MHz ------------------------------------------------------------------------------------------------------- Clock Domain Crossing ------------------------------------------------------------------------------------------------------ Clock clk150 | Worst Time Between Edges | Comment ------------------------------------------------------------------------------------------------------ From rvltck | ---- | False path From rvjtck | ---- | False path ------------------------------------------------------------------------------------------------------ 3.1.2 Clock "rvltck" create_clock -name {rvltck} -period 33.33 [get_ports TCK] Single Clock Domain ------------------------------------------------------------------------------------------------------- Clock rvltck | | Period | Frequency ------------------------------------------------------------------------------------------------------- From rvltck | Target | 33.330 ns | 30.003 MHz | Actual (all paths) | 5.000 ns | 200.000 MHz jtaghub_inst/IB_inst2.bb_inst/B (MPW) | (50% duty cycle) | 5.000 ns | 200.000 MHz ------------------------------------------------------------------------------------------------------- Clock Domain Crossing ------------------------------------------------------------------------------------------------------ Clock rvltck | Worst Time Between Edges | Comment ------------------------------------------------------------------------------------------------------ From clk150 | ---- | False path From rvjtck | ---- | False path ------------------------------------------------------------------------------------------------------ 3.1.3 Clock "rvjtck" create_generated_clock -name {rvjtck} -source [get_ports TCK] [get_nets jtck] Single Clock Domain ------------------------------------------------------------------------------------------------------- Clock rvjtck | | Period | Frequency ------------------------------------------------------------------------------------------------------- From rvjtck | Target | 33.330 ns | 30.003 MHz | Actual (all paths) | 2.942 ns | 339.905 MHz top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_instance_0_66/CLKR (MPW) | (50% duty cycle) | 2.942 ns | 339.905 MHz ------------------------------------------------------------------------------------------------------- Clock Domain Crossing ------------------------------------------------------------------------------------------------------ Clock rvjtck | Worst Time Between Edges | Comment ------------------------------------------------------------------------------------------------------ From clk150 | ---- | False path From rvltck | ---- | False path ------------------------------------------------------------------------------------------------------ 3.2 Endpoint slacks ------------------------------------------------------- Listing 10 End Points | Slack ------------------------------------------------------- LED3_0io.PIC_inst/D | 2.949 ns LED1_0io.PIC_inst/D | 3.312 ns reveal_ist_128.ff_inst/DF | 3.588 ns {top_reveal_coretop_instance/core0/trig_u/te_2/secured_instance_5_98/CE top_reveal_coretop_instance/core0/trig_u/te_2/secured_instance_5_99/CE} | 3.705 ns {top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_12_111/CE top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_12_112/CE} | 3.789 ns top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_14_95/DF | 3.810 ns {top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_12_107/CE top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_12_108/CE} | 3.820 ns top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_11_95/DF | 3.831 ns top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_12_95/DF | 3.976 ns top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_13_95/DF | 3.982 ns ------------------------------------------------------- | Setup # of endpoints with negative slack:| 0 | ------------------------------------------------------- 3.3 Detailed Report XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX Detail report of critical paths XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX +++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Detailed Report for timing paths +++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ ++++Path 1 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Path Begin : CNT03/Couti_reg[0].ff_inst/Q (SLICE_R60C9A) Path End : LED3_0io.PIC_inst/D (SIOLOGIC_CORE_IOL_R31A) Source Clock : clk150 (R) Destination Clock: clk150 (R) Logic Level : 2 Delay Ratio : 91.0% (route), 9.0% (logic) Clock Skew : -0.096 ns Setup Constraint : 8.888 ns Common Path Skew : 0.030 ns Path Slack : 2.948 ns (Passed) Source Clock Path { "path_begin": { "type":"pin", "log_name":"OSCA001.OSCA_inst/HFCLKOUT", "phy_name":"OSCA001.OSCA_inst/HFCLKOUT" }, "path_end": { "type":"pin", "log_name":"CNT03/Couti_reg[0].ff_inst/CLK", "phy_name":"CNT03.un1_Couti_cry_0_0/CLK" }, "path_sections":[ { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_143", "phy_name":"clk150" }, "arrive":2.544, "delay":2.544 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.544, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ---------------- -------- --------------------- ------ OSCA001.OSCA_inst/HFCLKOUT OSC_CORE_OSC_CORE_R1C137 CLOCK LATENCY 0.000 0.000 337 top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_143 NET DELAY 2.544 2.544 337 CNT03/Couti_reg[0].ff_inst/CLK CLOCK PIN 0.000 2.544 1 Data Path { "path_begin": { "type":"pin", "log_name":"CNT03/Couti_reg[0].ff_inst/Q", "phy_name":"CNT03.un1_Couti_cry_0_0/Q1" }, "path_end": { "type":"pin", "log_name":"LED3_0io.PIC_inst/D", "phy_name":"LED3_pad.bb_inst_IOL/TXDATA0" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"CNT03/Couti_reg[0].ff_inst/CLK", "phy_name":"CNT03.un1_Couti_cry_0_0/CLK" }, "pin1": { "log_name":"CNT03/Couti_reg[0].ff_inst/Q", "phy_name":"CNT03.un1_Couti_cry_0_0/Q1" }, "arrive":2.838, "delay":0.294 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/tm_u/secured_signal_1_72", "phy_name":"CNT3[0]" }, "arrive":5.371, "delay":2.533 }, { "type":"site_delay", "pin0": { "log_name":"LED3_1_cZ/A", "phy_name":"op_neq.un1_CNT3_0_I_1_0_RNO_cZ/D1" }, "pin1": { "log_name":"LED3_1_cZ/Z", "phy_name":"op_neq.un1_CNT3_0_I_1_0_RNO_cZ/F1" }, "arrive":5.576, "delay":0.205 }, { "type":"net_delay", "net": { "log_name":"LED3_1", "phy_name":"LED3_1" }, "arrive":8.099, "delay":2.523 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":8.099, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ---------------- -------- --------------------- ------ CNT03/Couti_reg[0].ff_inst/CLK->CNT03/Couti_reg[0].ff_inst/Q SLICE_R60C9A REG_DEL 0.294 2.838 5 top_reveal_coretop_instance/core0/tm_u/secured_signal_1_72 NET DELAY 2.533 5.371 5 LED3_1_cZ/A->LED3_1_cZ/Z SLICE_R38C74C CTOF_DEL 0.205 5.576 1 LED3_1 NET DELAY 2.523 8.099 1 LED3_0io.PIC_inst/D ENDPOINT 0.000 8.099 1 Destination Clock Path { "path_begin": { "type":"pin", "log_name":"OSCA001.OSCA_inst/HFCLKOUT", "phy_name":"OSCA001.OSCA_inst/HFCLKOUT" }, "path_end": { "type":"pin", "log_name":"LED3_0io.PIC_inst/CLK", "phy_name":"LED3_pad.bb_inst_IOL/SCLKOUT" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":8.888, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_143", "phy_name":"clk150" }, "arrive":11.336, "delay":2.448 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":11.336, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ---------------- -------- --------------------- ------ CONSTRAINT 0.000 8.888 1 OSCA001.OSCA_inst/HFCLKOUT OSC_CORE_OSC_CORE_R1C137 CLOCK LATENCY 0.000 8.888 337 top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_143 NET DELAY 2.448 11.336 337 LED3_0io.PIC_inst/CLK CLOCK PIN 0.000 11.336 1 Uncertainty -(0.000) 11.336 Common Path Skew 0.030 11.366 Setup time -(0.319) 11.047 ---------------------------------------- ------------------------ ---------------- -------- --------------------- ------ Required Time 11.047 Arrival Time -(8.098) ---------------------------------------- ------------------------ ---------------- -------- --------------------- ------ Path Slack (Passed) 2.948 ++++ Path 2 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Path Begin : CNT01/Couti_reg[15].ff_inst/Q (SLICE_R14C14A) Path End : LED1_0io.PIC_inst/D (SIOLOGIC_CORE_IOL_R28B) Source Clock : clk150 (R) Destination Clock: clk150 (R) Logic Level : 2 Delay Ratio : 90.4% (route), 9.6% (logic) Clock Skew : -0.096 ns Setup Constraint : 8.888 ns Common Path Skew : 0.030 ns Path Slack : 3.311 ns (Passed) Source Clock Path { "path_begin": { "type":"pin", "log_name":"OSCA001.OSCA_inst/HFCLKOUT", "phy_name":"OSCA001.OSCA_inst/HFCLKOUT" }, "path_end": { "type":"pin", "log_name":"CNT01/Couti_reg[15].ff_inst/CLK", "phy_name":"CNT01.un1_Couti_s_15_0/CLK" }, "path_sections":[ { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_143", "phy_name":"clk150" }, "arrive":2.544, "delay":2.544 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.544, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ---------------- -------- --------------------- ------ OSCA001.OSCA_inst/HFCLKOUT OSC_CORE_OSC_CORE_R1C137 CLOCK LATENCY 0.000 0.000 337 top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_143 NET DELAY 2.544 2.544 337 CNT01/Couti_reg[15].ff_inst/CLK CLOCK PIN 0.000 2.544 1 Data Path { "path_begin": { "type":"pin", "log_name":"CNT01/Couti_reg[15].ff_inst/Q", "phy_name":"CNT01.un1_Couti_s_15_0/Q0" }, "path_end": { "type":"pin", "log_name":"LED1_0io.PIC_inst/D", "phy_name":"LED1_pad.bb_inst_IOL/TXDATA0" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"CNT01/Couti_reg[15].ff_inst/CLK", "phy_name":"CNT01.un1_Couti_s_15_0/CLK" }, "pin1": { "log_name":"CNT01/Couti_reg[15].ff_inst/Q", "phy_name":"CNT01.un1_Couti_s_15_0/Q0" }, "arrive":2.837, "delay":0.293 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/tm_u/secured_signal_1_25", "phy_name":"CNT1[15]" }, "arrive":4.936, "delay":2.099 }, { "type":"site_delay", "pin0": { "log_name":"LED1_1_cZ/B", "phy_name":"LED2_1_cZ/B1" }, "pin1": { "log_name":"LED1_1_cZ/Z", "phy_name":"LED2_1_cZ/F1" }, "arrive":5.141, "delay":0.205 }, { "type":"net_delay", "net": { "log_name":"LED1_1", "phy_name":"LED1_1" }, "arrive":7.736, "delay":2.595 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":7.736, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ---------------- -------- --------------------- ------ CNT01/Couti_reg[15].ff_inst/CLK->CNT01/Couti_reg[15].ff_inst/Q SLICE_R14C14A REG_DEL 0.293 2.837 5 top_reveal_coretop_instance/core0/tm_u/secured_signal_1_25 NET DELAY 2.099 4.936 5 LED1_1_cZ/B->LED1_1_cZ/Z SLICE_R32C73D CTOF_DEL 0.205 5.141 1 LED1_1 NET DELAY 2.595 7.736 1 LED1_0io.PIC_inst/D ENDPOINT 0.000 7.736 1 Destination Clock Path { "path_begin": { "type":"pin", "log_name":"OSCA001.OSCA_inst/HFCLKOUT", "phy_name":"OSCA001.OSCA_inst/HFCLKOUT" }, "path_end": { "type":"pin", "log_name":"LED1_0io.PIC_inst/CLK", "phy_name":"LED1_pad.bb_inst_IOL/SCLKOUT" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":8.888, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_143", "phy_name":"clk150" }, "arrive":11.336, "delay":2.448 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":11.336, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ---------------- -------- --------------------- ------ CONSTRAINT 0.000 8.888 1 OSCA001.OSCA_inst/HFCLKOUT OSC_CORE_OSC_CORE_R1C137 CLOCK LATENCY 0.000 8.888 337 top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_143 NET DELAY 2.448 11.336 337 LED1_0io.PIC_inst/CLK CLOCK PIN 0.000 11.336 1 Uncertainty -(0.000) 11.336 Common Path Skew 0.030 11.366 Setup time -(0.319) 11.047 ---------------------------------------- ------------------------ ---------------- -------- --------------------- ------ Required Time 11.047 Arrival Time -(7.735) ---------------------------------------- ------------------------ ---------------- -------- --------------------- ------ Path Slack (Passed) 3.311 ++++ Path 3 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Path Begin : CNT04/Couti_reg[12].ff_inst/Q (SLICE_R40C149C) Path End : reveal_ist_128.ff_inst/DF (SLICE_R35C75D) Source Clock : clk150 (R) Destination Clock: clk150 (R) Logic Level : 5 Delay Ratio : 75.0% (route), 25.0% (logic) Clock Skew : -0.142 ns Setup Constraint : 8.888 ns Common Path Skew : 0.030 ns Path Slack : 3.587 ns (Passed) Source Clock Path { "path_begin": { "type":"pin", "log_name":"OSCA001.OSCA_inst/HFCLKOUT", "phy_name":"OSCA001.OSCA_inst/HFCLKOUT" }, "path_end": { "type":"pin", "log_name":"CNT04/Couti_reg[11].ff_inst/CLK", "phy_name":"CNT04.un1_Couti_cry_11_0/CLK" }, "path_sections":[ { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_143", "phy_name":"clk150" }, "arrive":2.544, "delay":2.544 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.544, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ---------------- --------- --------------------- ------ OSCA001.OSCA_inst/HFCLKOUT OSC_CORE_OSC_CORE_R1C137 CLOCK LATENCY 0.000 0.000 337 top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_143 NET DELAY 2.544 2.544 337 {CNT04/Couti_reg[11].ff_inst/CLK CNT04/Couti_reg[12].ff_inst/CLK} CLOCK PIN 0.000 2.544 1 Data Path { "path_begin": { "type":"pin", "log_name":"CNT04/Couti_reg[12].ff_inst/Q", "phy_name":"CNT04.un1_Couti_cry_11_0/Q1" }, "path_end": { "type":"pin", "log_name":"reveal_ist_128.ff_inst/DF", "phy_name":"reveal_ist_128.ff_inst/DI0" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"CNT04/Couti_reg[12].ff_inst/CLK", "phy_name":"CNT04.un1_Couti_cry_11_0/CLK" }, "pin1": { "log_name":"CNT04/Couti_reg[12].ff_inst/Q", "phy_name":"CNT04.un1_Couti_cry_11_0/Q1" }, "arrive":2.838, "delay":0.294 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/tm_u/secured_signal_1_76", "phy_name":"CNT4[12]" }, "arrive":5.675, "delay":2.837 }, { "type":"site_delay", "pin0": { "log_name":"op_neq.un1_CNT3_0_I_15_0_RNO_0_cZ/C", "phy_name":"op_neq.un1_CNT3_0_I_15_0_RNO_cZ/B1" }, "pin1": { "log_name":"op_neq.un1_CNT3_0_I_15_0_RNO_0_cZ/Z", "phy_name":"op_neq.un1_CNT3_0_I_15_0_RNO_cZ/F1" }, "arrive":5.880, "delay":0.205 }, { "type":"net_delay", "net": { "log_name":"op_neq.un1_CNT3_0_I_15_0_RNO_0", "phy_name":"op_neq.un1_CNT3_0_I_15_0_RNO_0" }, "arrive":6.605, "delay":0.725 }, { "type":"site_delay", "pin0": { "log_name":"op_neq.un1_CNT3_0_I_15_0/A1", "phy_name":"op_neq.un1_CNT3_0_I_15_0/A1" }, "pin1": { "log_name":"op_neq.un1_CNT3_0_I_15_0/COUT", "phy_name":"op_neq.un1_CNT3_0_I_15_0/FCO" }, "arrive":6.922, "delay":0.317 }, { "type":"net_delay", "net": { "log_name":"op_neq.un1_CNT3_0_data_tmp[6]", "phy_name":"op_neq.un1_CNT3_0_data_tmp[6]" }, "arrive":6.922, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"op_neq.un1_CNT3_0_I_45_0/CIN", "phy_name":"op_neq.un1_CNT3_0_I_45_0/FCI" }, "pin1": { "log_name":"op_neq.un1_CNT3_0_I_45_0/S1", "phy_name":"op_neq.un1_CNT3_0_I_45_0/F1" }, "arrive":7.203, "delay":0.281 }, { "type":"net_delay", "net": { "log_name":"op_neq.un1_CNT3_i", "phy_name":"op_neq.un1_CNT3_i" }, "arrive":7.578, "delay":0.375 }, { "type":"site_delay", "pin0": { "log_name":"reveal_ist_1283_cZ/C", "phy_name":"reveal_ist_128.ff_inst/D0" }, "pin1": { "log_name":"reveal_ist_1283_cZ/Z", "phy_name":"reveal_ist_128.ff_inst/F0" }, "arrive":7.791, "delay":0.213 }, { "type":"net_delay", "net": { "log_name":"reveal_ist_1283", "phy_name":"reveal_ist_1283" }, "arrive":7.791, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":7.791, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ---------------- --------- --------------------- ------ CNT04/Couti_reg[12].ff_inst/CLK->CNT04/Couti_reg[12].ff_inst/Q SLICE_R40C149C REG_DEL 0.294 2.838 6 top_reveal_coretop_instance/core0/tm_u/secured_signal_1_76 NET DELAY 2.837 5.675 6 op_neq.un1_CNT3_0_I_15_0_RNO_0_cZ/C->op_neq.un1_CNT3_0_I_15_0_RNO_0_cZ/Z SLICE_R38C67A CTOF_DEL 0.205 5.880 1 op_neq.un1_CNT3_0_I_15_0_RNO_0 NET DELAY 0.725 6.605 1 op_neq.un1_CNT3_0_I_15_0/A1->op_neq.un1_CNT3_0_I_15_0/COUT SLICE_R38C75D C1TOFCO_DEL 0.317 6.922 1 op_neq.un1_CNT3_0_data_tmp[6] NET DELAY 0.000 6.922 1 op_neq.un1_CNT3_0_I_45_0/CIN->op_neq.un1_CNT3_0_I_45_0/S1 SLICE_R38C76A FCITOF1_DEL 0.281 7.203 1 op_neq.un1_CNT3_i NET DELAY 0.375 7.578 1 reveal_ist_1283_cZ/C->reveal_ist_1283_cZ/Z SLICE_R35C75D CTOF_DEL 0.213 7.791 1 reveal_ist_1283 NET DELAY 0.000 7.791 1 reveal_ist_128.ff_inst/DF ENDPOINT 0.000 7.791 1 Destination Clock Path { "path_begin": { "type":"pin", "log_name":"OSCA001.OSCA_inst/HFCLKOUT", "phy_name":"OSCA001.OSCA_inst/HFCLKOUT" }, "path_end": { "type":"pin", "log_name":"reveal_ist_128.ff_inst/CLK", "phy_name":"reveal_ist_128.ff_inst/CLK" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":8.888, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_143", "phy_name":"clk150" }, "arrive":11.290, "delay":2.402 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":11.290, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ---------------- --------- --------------------- ------ CONSTRAINT 0.000 8.888 1 OSCA001.OSCA_inst/HFCLKOUT OSC_CORE_OSC_CORE_R1C137 CLOCK LATENCY 0.000 8.888 337 top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_143 NET DELAY 2.402 11.290 337 reveal_ist_128.ff_inst/CLK CLOCK PIN 0.000 11.290 1 Uncertainty -(0.000) 11.290 Common Path Skew 0.030 11.320 Setup time -(-0.058) 11.378 ---------------------------------------- ------------------------ ---------------- --------- --------------------- ------ Required Time 11.378 Arrival Time -(7.790) ---------------------------------------- ------------------------ ---------------- --------- --------------------- ------ Path Slack (Passed) 3.587 ++++ Path 4 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Path Begin : top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_480/Q (SLICE_R42C70C) Path End : {top_reveal_coretop_instance/core0/trig_u/te_2/secured_instance_5_98/CE top_reveal_coretop_instance/core0/trig_u/te_2/secured_instance_5_99/CE} (SLICE_R31C61A) Source Clock : clk150 (R) Destination Clock: clk150 (R) Logic Level : 7 Delay Ratio : 68.2% (route), 31.8% (logic) Clock Skew : -0.142 ns Setup Constraint : 8.888 ns Common Path Skew : 0.030 ns Path Slack : 3.704 ns (Passed) Source Clock Path { "path_begin": { "type":"pin", "log_name":"OSCA001.OSCA_inst/HFCLKOUT", "phy_name":"OSCA001.OSCA_inst/HFCLKOUT" }, "path_end": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_479/CLK", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.Ic4KmarKEnFbc/CLK" }, "path_sections":[ { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_143", "phy_name":"clk150" }, "arrive":2.544, "delay":2.544 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.544, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ---------------- -------- --------------------- ------ OSCA001.OSCA_inst/HFCLKOUT OSC_CORE_OSC_CORE_R1C137 CLOCK LATENCY 0.000 0.000 337 top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_143 NET DELAY 2.544 2.544 337 {top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_479/CLK top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_480/CLK} CLOCK PIN 0.000 2.544 1 Data Path { "path_begin": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_480/Q", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.Ic4KmarKEnFbc/Q1" }, "path_end": { "type":"pin", "log_name":"{top_reveal_coretop_instance/core0/trig_u/te_2/secured_instance_5_98/CE top_reveal_coretop_instance/core0/trig_u/te_2/secured_instance_5_99/CE}", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.Ida5hzuEdryk1Jpoco7v016/CE" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_480/CLK", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.Ic4KmarKEnFbc/CLK" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_480/Q", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.Ic4KmarKEnFbc/Q1" }, "arrive":2.852, "delay":0.308 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_signal_2_157", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.Ne3nFILyd5q303pD9C8l[3]" }, "arrive":3.364, "delay":0.512 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_249/B", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.Ib4zmjgl42aqur7a/C0" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_249/Z", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.Ib4zmjgl42aqur7a/F0" }, "arrive":3.577, "delay":0.213 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_signal_2_583", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.Nb4zmjgl42aqur7a" }, "arrive":3.805, "delay":0.228 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_233/C", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.IcAy31tz43m18/C1" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_233/Z", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.IcAy31tz43m18/F1" }, "arrive":4.010, "delay":0.205 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/tm_u/secured_signal_1_159", "phy_name":"top_reveal_coretop_instance.core0.wen" }, "arrive":4.439, "delay":0.429 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_16_18/B", "phy_name":"top_reveal_coretop_instance.core0.trig_u.decode_u.Ifii7B9Hs8lzeEzEerH8[2]/D1" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_16_18/Z", "phy_name":"top_reveal_coretop_instance.core0.trig_u.decode_u.Ifii7B9Hs8lzeEzEerH8[2]/F1" }, "arrive":4.644, "delay":0.205 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/decode_u/secured_signal_16_27", "phy_name":"top_reveal_coretop_instance.core0.trig_u.decode_u.Nfh7o1C" }, "arrive":4.917, "delay":0.273 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_16_5/A", "phy_name":"top_reveal_coretop_instance.core0.trig_u.decode_u.Ifii7B9HtdLGHq8BId7c[0]/B1" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_16_5/Z", "phy_name":"top_reveal_coretop_instance.core0.trig_u.decode_u.Ifii7B9HtdLGHq8BId7c[0]/F1" }, "arrive":5.130, "delay":0.213 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/decode_u/secured_signal_16_28", "phy_name":"top_reveal_coretop_instance.core0.trig_u.decode_u.Nfh7o1F" }, "arrive":5.636, "delay":0.506 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_16_0/B", "phy_name":"top_reveal_coretop_instance.core0.trig_u.te_2.Izy0x4lke8ar7aLjshpH7/C1" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_16_0/Z", "phy_name":"top_reveal_coretop_instance.core0.trig_u.te_2.Izy0x4lke8ar7aLjshpH7/F1" }, "arrive":5.841, "delay":0.205 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/te_2/secured_signal_5_21", "phy_name":"top_reveal_coretop_instance.core0.trig_u.wen_te[2]" }, "arrive":6.525, "delay":0.684 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/te_2/secured_instance_5_34/D", "phy_name":"top_reveal_coretop_instance.core0.trig_u.te_2.IeBs2DksqxhEcc2E6mh2horyxarLH7/B0" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/te_2/secured_instance_5_34/Z", "phy_name":"top_reveal_coretop_instance.core0.trig_u.te_2.IeBs2DksqxhEcc2E6mh2horyxarLH7/F0" }, "arrive":6.730, "delay":0.205 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/te_2/secured_signal_5_108", "phy_name":"top_reveal_coretop_instance.core0.trig_u.te_2.NeBs2DksqxhEcc2E6mh2horyxarLH7" }, "arrive":7.432, "delay":0.702 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":7.432, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ---------------- -------- --------------------- ------ top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_480/CLK->top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_480/Q SLICE_R42C70C REG_DEL 0.308 2.852 4 top_reveal_coretop_instance/core0/jtag_int_u/secured_signal_2_157 NET DELAY 0.512 3.364 4 top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_249/B->top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_249/Z SLICE_R42C69D CTOF_DEL 0.213 3.577 1 top_reveal_coretop_instance/core0/jtag_int_u/secured_signal_2_583 NET DELAY 0.228 3.805 1 top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_233/C->top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_233/Z SLICE_R42C69C CTOF_DEL 0.205 4.010 6 top_reveal_coretop_instance/core0/tm_u/secured_signal_1_159 NET DELAY 0.429 4.439 6 top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_16_18/B->top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_16_18/Z SLICE_R41C67A CTOF_DEL 0.205 4.644 4 top_reveal_coretop_instance/core0/trig_u/decode_u/secured_signal_16_27 NET DELAY 0.273 4.917 4 top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_16_5/A->top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_16_5/Z SLICE_R41C65A CTOF_DEL 0.213 5.130 4 top_reveal_coretop_instance/core0/trig_u/decode_u/secured_signal_16_28 NET DELAY 0.506 5.636 4 top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_16_0/B->top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_16_0/Z SLICE_R38C66B CTOF_DEL 0.205 5.841 4 top_reveal_coretop_instance/core0/trig_u/te_2/secured_signal_5_21 NET DELAY 0.684 6.525 4 top_reveal_coretop_instance/core0/trig_u/te_2/secured_instance_5_34/D->top_reveal_coretop_instance/core0/trig_u/te_2/secured_instance_5_34/Z SLICE_R34C62D CTOF_DEL 0.205 6.730 1 top_reveal_coretop_instance/core0/trig_u/te_2/secured_signal_5_108 NET DELAY 0.702 7.432 1 {top_reveal_coretop_instance/core0/trig_u/te_2/secured_instance_5_98/CE top_reveal_coretop_instance/core0/trig_u/te_2/secured_instance_5_99/CE} ENDPOINT 0.000 7.432 1 Destination Clock Path { "path_begin": { "type":"pin", "log_name":"OSCA001.OSCA_inst/HFCLKOUT", "phy_name":"OSCA001.OSCA_inst/HFCLKOUT" }, "path_end": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/trig_u/te_2/secured_instance_5_98/CLK", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.Ida5hzuEdryk1Jpoco7v016/CLK" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":8.888, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_143", "phy_name":"clk150" }, "arrive":11.290, "delay":2.402 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":11.290, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ---------------- -------- --------------------- ------ CONSTRAINT 0.000 8.888 1 OSCA001.OSCA_inst/HFCLKOUT OSC_CORE_OSC_CORE_R1C137 CLOCK LATENCY 0.000 8.888 337 top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_143 NET DELAY 2.402 11.290 337 {top_reveal_coretop_instance/core0/trig_u/te_2/secured_instance_5_98/CLK top_reveal_coretop_instance/core0/trig_u/te_2/secured_instance_5_99/CLK} CLOCK PIN 0.000 11.290 1 Uncertainty -(0.000) 11.290 Common Path Skew 0.030 11.320 Setup time -(0.184) 11.136 ---------------------------------------- ------------------------ ---------------- -------- --------------------- ------ Required Time 11.136 Arrival Time -(7.431) ---------------------------------------- ------------------------ ---------------- -------- --------------------- ------ Path Slack (Passed) 3.704 ++++ Path 5 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Path Begin : top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_480/Q (SLICE_R42C70C) Path End : {top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_12_111/CE top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_12_112/CE} (SLICE_R35C61D) Source Clock : clk150 (R) Destination Clock: clk150 (R) Logic Level : 7 Delay Ratio : 67.8% (route), 32.2% (logic) Clock Skew : -0.142 ns Setup Constraint : 8.888 ns Common Path Skew : 0.030 ns Path Slack : 3.788 ns (Passed) Source Clock Path { "path_begin": { "type":"pin", "log_name":"OSCA001.OSCA_inst/HFCLKOUT", "phy_name":"OSCA001.OSCA_inst/HFCLKOUT" }, "path_end": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_479/CLK", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.Ic4KmarKEnFbc/CLK" }, "path_sections":[ { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_143", "phy_name":"clk150" }, "arrive":2.544, "delay":2.544 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.544, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ---------------- -------- --------------------- ------ OSCA001.OSCA_inst/HFCLKOUT OSC_CORE_OSC_CORE_R1C137 CLOCK LATENCY 0.000 0.000 337 top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_143 NET DELAY 2.544 2.544 337 {top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_479/CLK top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_480/CLK} CLOCK PIN 0.000 2.544 1 Data Path { "path_begin": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_480/Q", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.Ic4KmarKEnFbc/Q1" }, "path_end": { "type":"pin", "log_name":"{top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_12_111/CE top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_12_112/CE}", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.IeJDtew0zBltkd7wB018/CE" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_480/CLK", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.Ic4KmarKEnFbc/CLK" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_480/Q", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.Ic4KmarKEnFbc/Q1" }, "arrive":2.852, "delay":0.308 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_signal_2_157", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.Ne3nFILyd5q303pD9C8l[3]" }, "arrive":3.364, "delay":0.512 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_249/B", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.Ib4zmjgl42aqur7a/C0" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_249/Z", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.Ib4zmjgl42aqur7a/F0" }, "arrive":3.577, "delay":0.213 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_signal_2_583", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.Nb4zmjgl42aqur7a" }, "arrive":3.805, "delay":0.228 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_233/C", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.IcAy31tz43m18/C1" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_233/Z", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.IcAy31tz43m18/F1" }, "arrive":4.010, "delay":0.205 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/tm_u/secured_signal_1_159", "phy_name":"top_reveal_coretop_instance.core0.wen" }, "arrive":4.439, "delay":0.429 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_16_18/B", "phy_name":"top_reveal_coretop_instance.core0.trig_u.decode_u.Ifii7B9Hs8lzeEzEerH8[2]/D1" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_16_18/Z", "phy_name":"top_reveal_coretop_instance.core0.trig_u.decode_u.Ifii7B9Hs8lzeEzEerH8[2]/F1" }, "arrive":4.644, "delay":0.205 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/decode_u/secured_signal_16_27", "phy_name":"top_reveal_coretop_instance.core0.trig_u.decode_u.Nfh7o1C" }, "arrive":5.150, "delay":0.506 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_16_6/A", "phy_name":"top_reveal_coretop_instance.core0.trig_u.decode_u.Ifii7B9HtdLGHq8BId7c[1]/B1" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_16_6/Z", "phy_name":"top_reveal_coretop_instance.core0.trig_u.decode_u.Ifii7B9HtdLGHq8BId7c[1]/F1" }, "arrive":5.355, "delay":0.205 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/decode_u/secured_signal_16_30", "phy_name":"top_reveal_coretop_instance.core0.trig_u.decode_u.Nfh7o7b" }, "arrive":5.908, "delay":0.553 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_16_3/A", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.Ipfam7xdGoKjHfkmkJtvyczgttK7nLwCm7/B1" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_16_3/Z", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.Ipfam7xdGoKjHfkmkJtvyczgttK7nLwCm7/F1" }, "arrive":6.113, "delay":0.205 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_signal_12_56", "phy_name":"top_reveal_coretop_instance.core0.trig_u.wen_tu[3]" }, "arrive":6.386, "delay":0.273 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_12_5/C", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.IfmqpHfyLjihmE893lg93s3sdHIgm7/B0" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_12_5/Z", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.IfmqpHfyLjihmE893lg93s3sdHIgm7/F0" }, "arrive":6.591, "delay":0.205 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_signal_12_149", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.NfmqpHfyLjihmE893lg93s3sdHIgm7" }, "arrive":7.348, "delay":0.757 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":7.348, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ---------------- -------- --------------------- ------ top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_480/CLK->top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_480/Q SLICE_R42C70C REG_DEL 0.308 2.852 4 top_reveal_coretop_instance/core0/jtag_int_u/secured_signal_2_157 NET DELAY 0.512 3.364 4 top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_249/B->top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_249/Z SLICE_R42C69D CTOF_DEL 0.213 3.577 1 top_reveal_coretop_instance/core0/jtag_int_u/secured_signal_2_583 NET DELAY 0.228 3.805 1 top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_233/C->top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_233/Z SLICE_R42C69C CTOF_DEL 0.205 4.010 6 top_reveal_coretop_instance/core0/tm_u/secured_signal_1_159 NET DELAY 0.429 4.439 6 top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_16_18/B->top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_16_18/Z SLICE_R41C67A CTOF_DEL 0.205 4.644 4 top_reveal_coretop_instance/core0/trig_u/decode_u/secured_signal_16_27 NET DELAY 0.506 5.150 4 top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_16_6/A->top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_16_6/Z SLICE_R39C64A CTOF_DEL 0.205 5.355 3 top_reveal_coretop_instance/core0/trig_u/decode_u/secured_signal_16_30 NET DELAY 0.553 5.908 3 top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_16_3/A->top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_16_3/Z SLICE_R38C64A CTOF_DEL 0.205 6.113 3 top_reveal_coretop_instance/core0/trig_u/tu_3/secured_signal_12_56 NET DELAY 0.273 6.386 3 top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_12_5/C->top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_12_5/Z SLICE_R38C63C CTOF_DEL 0.205 6.591 8 top_reveal_coretop_instance/core0/trig_u/tu_3/secured_signal_12_149 NET DELAY 0.757 7.348 8 {top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_12_111/CE top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_12_112/CE} ENDPOINT 0.000 7.348 1 Destination Clock Path { "path_begin": { "type":"pin", "log_name":"OSCA001.OSCA_inst/HFCLKOUT", "phy_name":"OSCA001.OSCA_inst/HFCLKOUT" }, "path_end": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_12_111/CLK", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.IeJDtew0zBltkd7wB018/CLK" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":8.888, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_143", "phy_name":"clk150" }, "arrive":11.290, "delay":2.402 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":11.290, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ---------------- -------- --------------------- ------ CONSTRAINT 0.000 8.888 1 OSCA001.OSCA_inst/HFCLKOUT OSC_CORE_OSC_CORE_R1C137 CLOCK LATENCY 0.000 8.888 337 top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_143 NET DELAY 2.402 11.290 337 {top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_12_111/CLK top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_12_112/CLK} CLOCK PIN 0.000 11.290 1 Uncertainty -(0.000) 11.290 Common Path Skew 0.030 11.320 Setup time -(0.184) 11.136 ---------------------------------------- ------------------------ ---------------- -------- --------------------- ------ Required Time 11.136 Arrival Time -(7.347) ---------------------------------------- ------------------------ ---------------- -------- --------------------- ------ Path Slack (Passed) 3.788 ++++ Path 6 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Path Begin : top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_14_150/Q (SLICE_R34C61C) Path End : top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_14_95/DF (SLICE_R41C62D) Source Clock : clk150 (R) Destination Clock: clk150 (R) Logic Level : 10 Delay Ratio : 57.7% (route), 42.3% (logic) Clock Skew : -0.142 ns Setup Constraint : 8.888 ns Common Path Skew : 0.030 ns Path Slack : 3.809 ns (Passed) Source Clock Path { "path_begin": { "type":"pin", "log_name":"OSCA001.OSCA_inst/HFCLKOUT", "phy_name":"OSCA001.OSCA_inst/HFCLKOUT" }, "path_end": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_14_150/CLK", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_1.IdqzHCkaae4atgf2l52vbJ0A680pb1xbc/CLK" }, "path_sections":[ { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_143", "phy_name":"clk150" }, "arrive":2.544, "delay":2.544 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.544, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ---------------- --------- --------------------- ------ OSCA001.OSCA_inst/HFCLKOUT OSC_CORE_OSC_CORE_R1C137 CLOCK LATENCY 0.000 0.000 337 top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_143 NET DELAY 2.544 2.544 337 {top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_14_150/CLK top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_14_149/CLK} CLOCK PIN 0.000 2.544 1 Data Path { "path_begin": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_14_150/Q", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_1.IdqzHCkaae4atgf2l52vbJ0A680pb1xbc/Q0" }, "path_end": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_14_95/DF", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_1.Ie0fvlc4DA.ff_inst/DI0" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_14_150/CLK", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_1.IdqzHCkaae4atgf2l52vbJ0A680pb1xbc/CLK" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_14_150/Q", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_1.IdqzHCkaae4atgf2l52vbJ0A680pb1xbc/Q0" }, "arrive":2.849, "delay":0.305 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_1/secured_signal_14_105", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_1.NwKq1FBmzsxu1ADHBnhjJ[3]" }, "arrive":3.161, "delay":0.312 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_14_71/A", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_1.IdqzHCkaae4atgf2l52vbJ0A680pb1xbc/B1" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_14_71/Z", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_1.IdqzHCkaae4atgf2l52vbJ0A680pb1xbc/F1" }, "arrive":3.366, "delay":0.205 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_1/secured_signal_14_197", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_1.NjzhBHr2GnijAwxbFvzqagmEyCr" }, "arrive":3.793, "delay":0.427 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_14_72/D", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_1.IdqzHCkaae4atgf2l52vbJ0A680pb1xbc/B0" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_14_72/Z", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_1.IdqzHCkaae4atgf2l52vbJ0A680pb1xbc/F0" }, "arrive":3.998, "delay":0.205 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_1/secured_signal_14_160", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_1.Nf4H8HwGahC46npu56oLynGhHkotr5" }, "arrive":4.571, "delay":0.573 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_14_78/A0", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_1.IqdwgKi79qkEwzIGgJp6Hxba/A0" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_14_78/COUT", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_1.IqdwgKi79qkEwzIGgJp6Hxba/FCO" }, "arrive":4.888, "delay":0.317 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_1/secured_signal_14_111", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_1.NjzhBHr2GnijAwxcxurFentaE3a[2]" }, "arrive":4.888, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_14_77/CIN", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_1.IqdwgKi79qkEwzIGgJp35b7a/FCI" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_14_77/COUT", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_1.IqdwgKi79qkEwzIGgJp35b7a/FCO" }, "arrive":4.942, "delay":0.054 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_1/secured_signal_14_110", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_1.NjzhBHr2GnijAwxcxurFentaE3a[4]" }, "arrive":4.942, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_14_76/CIN", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_1.IqdwgKi79qkEwzIGgJp0z77a/FCI" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_14_76/COUT", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_1.IqdwgKi79qkEwzIGgJp0z77a/FCO" }, "arrive":4.996, "delay":0.054 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_1/secured_signal_14_109", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_1.NjzhBHr2GnijAwxcxurFentaE3a[6]" }, "arrive":4.996, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_14_75/CIN", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_1.IqdwgKi79qkEwzIGgJpAaDba/FCI" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_14_75/S1", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_1.IqdwgKi79qkEwzIGgJpAaDba/F1" }, "arrive":5.277, "delay":0.281 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_1/secured_signal_14_130", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_1.NbcCj0hnGbwlAcxGFJcDfs1p4kmCr" }, "arrive":5.798, "delay":0.521 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_14_52/B", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_2.Iqdwih5fnEIfCyvfjH35whIB[8]/C1" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_14_52/Z", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_2.Iqdwih5fnEIfCyvfjH35whIB[8]/F1" }, "arrive":6.003, "delay":0.205 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_1/secured_signal_14_163", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_1.Ne1IDB4vm9" }, "arrive":6.524, "delay":0.521 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_14_90/D", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_1.ImmjHJuzggHxba/C1" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_14_90/Z", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_1.ImmjHJuzggHxba/OFX0" }, "arrive":6.809, "delay":0.285 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_1/secured_signal_14_165", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_1.NKvlC" }, "arrive":7.356, "delay":0.547 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_14_58/B", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_1.Ie0fvlc4DA.ff_inst/D0" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_14_58/Z", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_1.Ie0fvlc4DA.ff_inst/F0" }, "arrive":7.569, "delay":0.213 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_1/secured_signal_14_150", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_1.Ncd" }, "arrive":7.569, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":7.569, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ---------------- --------- --------------------- ------ top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_14_150/CLK->top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_14_150/Q SLICE_R34C61C REG_DEL 0.305 2.849 3 top_reveal_coretop_instance/core0/trig_u/tu_1/secured_signal_14_105 NET DELAY 0.312 3.161 3 top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_14_71/A->top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_14_71/Z SLICE_R34C61C CTOF_DEL 0.205 3.366 1 top_reveal_coretop_instance/core0/trig_u/tu_1/secured_signal_14_197 NET DELAY 0.427 3.793 1 top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_14_72/D->top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_14_72/Z SLICE_R34C61C CTOF_DEL 0.205 3.998 1 top_reveal_coretop_instance/core0/trig_u/tu_1/secured_signal_14_160 NET DELAY 0.573 4.571 1 top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_14_78/A0->top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_14_78/COUT SLICE_R30C62B C0TOFCO_DEL 0.317 4.888 1 top_reveal_coretop_instance/core0/trig_u/tu_1/secured_signal_14_111 NET DELAY 0.000 4.888 1 top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_14_77/CIN->top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_14_77/COUT SLICE_R30C62C FCITOFCO_DEL 0.054 4.942 1 top_reveal_coretop_instance/core0/trig_u/tu_1/secured_signal_14_110 NET DELAY 0.000 4.942 1 top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_14_76/CIN->top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_14_76/COUT SLICE_R30C62D FCITOFCO_DEL 0.054 4.996 1 top_reveal_coretop_instance/core0/trig_u/tu_1/secured_signal_14_109 NET DELAY 0.000 4.996 1 top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_14_75/CIN->top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_14_75/S1 SLICE_R30C63A FCITOF1_DEL 0.281 5.277 3 top_reveal_coretop_instance/core0/trig_u/tu_1/secured_signal_14_130 NET DELAY 0.521 5.798 3 top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_14_52/B->top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_14_52/Z SLICE_R34C65A CTOF_DEL 0.205 6.003 1 top_reveal_coretop_instance/core0/trig_u/tu_1/secured_signal_14_163 NET DELAY 0.521 6.524 1 top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_14_90/D->top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_14_90/Z SLICE_R34C62C CTOOF_DEL 0.285 6.809 1 top_reveal_coretop_instance/core0/trig_u/tu_1/secured_signal_14_165 NET DELAY 0.547 7.356 1 top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_14_58/B->top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_14_58/Z SLICE_R41C62D CTOF_DEL 0.213 7.569 1 top_reveal_coretop_instance/core0/trig_u/tu_1/secured_signal_14_150 NET DELAY 0.000 7.569 1 top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_14_95/DF ENDPOINT 0.000 7.569 1 Destination Clock Path { "path_begin": { "type":"pin", "log_name":"OSCA001.OSCA_inst/HFCLKOUT", "phy_name":"OSCA001.OSCA_inst/HFCLKOUT" }, "path_end": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_14_95/CLK", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_1.Ie0fvlc4DA.ff_inst/CLK" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":8.888, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_143", "phy_name":"clk150" }, "arrive":11.290, "delay":2.402 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":11.290, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ---------------- --------- --------------------- ------ CONSTRAINT 0.000 8.888 1 OSCA001.OSCA_inst/HFCLKOUT OSC_CORE_OSC_CORE_R1C137 CLOCK LATENCY 0.000 8.888 337 top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_143 NET DELAY 2.402 11.290 337 {top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_14_95/CLK top_reveal_coretop_instance/core0/trig_u/tu_0/secured_instance_15_18/CLK} CLOCK PIN 0.000 11.290 1 Uncertainty -(0.000) 11.290 Common Path Skew 0.030 11.320 Setup time -(-0.058) 11.378 ---------------------------------------- ------------------------ ---------------- --------- --------------------- ------ Required Time 11.378 Arrival Time -(7.568) ---------------------------------------- ------------------------ ---------------- --------- --------------------- ------ Path Slack (Passed) 3.809 ++++ Path 7 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Path Begin : top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_480/Q (SLICE_R42C70C) Path End : {top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_12_107/CE top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_12_108/CE} (SLICE_R30C63A) Source Clock : clk150 (R) Destination Clock: clk150 (R) Logic Level : 7 Delay Ratio : 67.6% (route), 32.4% (logic) Clock Skew : -0.142 ns Setup Constraint : 8.888 ns Common Path Skew : 0.030 ns Path Slack : 3.819 ns (Passed) Source Clock Path { "path_begin": { "type":"pin", "log_name":"OSCA001.OSCA_inst/HFCLKOUT", "phy_name":"OSCA001.OSCA_inst/HFCLKOUT" }, "path_end": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_479/CLK", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.Ic4KmarKEnFbc/CLK" }, "path_sections":[ { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_143", "phy_name":"clk150" }, "arrive":2.544, "delay":2.544 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.544, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ---------------- -------- --------------------- ------ OSCA001.OSCA_inst/HFCLKOUT OSC_CORE_OSC_CORE_R1C137 CLOCK LATENCY 0.000 0.000 337 top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_143 NET DELAY 2.544 2.544 337 {top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_479/CLK top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_480/CLK} CLOCK PIN 0.000 2.544 1 Data Path { "path_begin": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_480/Q", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.Ic4KmarKEnFbc/Q1" }, "path_end": { "type":"pin", "log_name":"{top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_12_107/CE top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_12_108/CE}", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_1.IqdwgKi79qkEwzIGgJpAaDba/CE" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_480/CLK", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.Ic4KmarKEnFbc/CLK" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_480/Q", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.Ic4KmarKEnFbc/Q1" }, "arrive":2.852, "delay":0.308 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_signal_2_157", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.Ne3nFILyd5q303pD9C8l[3]" }, "arrive":3.364, "delay":0.512 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_249/B", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.Ib4zmjgl42aqur7a/C0" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_249/Z", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.Ib4zmjgl42aqur7a/F0" }, "arrive":3.577, "delay":0.213 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_signal_2_583", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.Nb4zmjgl42aqur7a" }, "arrive":3.805, "delay":0.228 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_233/C", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.IcAy31tz43m18/C1" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_233/Z", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.IcAy31tz43m18/F1" }, "arrive":4.010, "delay":0.205 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/tm_u/secured_signal_1_159", "phy_name":"top_reveal_coretop_instance.core0.wen" }, "arrive":4.439, "delay":0.429 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_16_18/B", "phy_name":"top_reveal_coretop_instance.core0.trig_u.decode_u.Ifii7B9Hs8lzeEzEerH8[2]/D1" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_16_18/Z", "phy_name":"top_reveal_coretop_instance.core0.trig_u.decode_u.Ifii7B9Hs8lzeEzEerH8[2]/F1" }, "arrive":4.644, "delay":0.205 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/decode_u/secured_signal_16_27", "phy_name":"top_reveal_coretop_instance.core0.trig_u.decode_u.Nfh7o1C" }, "arrive":5.150, "delay":0.506 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_16_6/A", "phy_name":"top_reveal_coretop_instance.core0.trig_u.decode_u.Ifii7B9HtdLGHq8BId7c[1]/B1" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_16_6/Z", "phy_name":"top_reveal_coretop_instance.core0.trig_u.decode_u.Ifii7B9HtdLGHq8BId7c[1]/F1" }, "arrive":5.355, "delay":0.205 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/decode_u/secured_signal_16_30", "phy_name":"top_reveal_coretop_instance.core0.trig_u.decode_u.Nfh7o7b" }, "arrive":5.908, "delay":0.553 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_16_3/A", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.Ipfam7xdGoKjHfkmkJtvyczgttK7nLwCm7/B1" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_16_3/Z", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.Ipfam7xdGoKjHfkmkJtvyczgttK7nLwCm7/F1" }, "arrive":6.113, "delay":0.205 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_signal_12_56", "phy_name":"top_reveal_coretop_instance.core0.trig_u.wen_tu[3]" }, "arrive":6.386, "delay":0.273 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_12_5/C", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.IfmqpHfyLjihmE893lg93s3sdHIgm7/B0" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_12_5/Z", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.IfmqpHfyLjihmE893lg93s3sdHIgm7/F0" }, "arrive":6.591, "delay":0.205 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_signal_12_149", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.NfmqpHfyLjihmE893lg93s3sdHIgm7" }, "arrive":7.317, "delay":0.726 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":7.317, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ---------------- -------- --------------------- ------ top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_480/CLK->top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_480/Q SLICE_R42C70C REG_DEL 0.308 2.852 4 top_reveal_coretop_instance/core0/jtag_int_u/secured_signal_2_157 NET DELAY 0.512 3.364 4 top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_249/B->top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_249/Z SLICE_R42C69D CTOF_DEL 0.213 3.577 1 top_reveal_coretop_instance/core0/jtag_int_u/secured_signal_2_583 NET DELAY 0.228 3.805 1 top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_233/C->top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_233/Z SLICE_R42C69C CTOF_DEL 0.205 4.010 6 top_reveal_coretop_instance/core0/tm_u/secured_signal_1_159 NET DELAY 0.429 4.439 6 top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_16_18/B->top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_16_18/Z SLICE_R41C67A CTOF_DEL 0.205 4.644 4 top_reveal_coretop_instance/core0/trig_u/decode_u/secured_signal_16_27 NET DELAY 0.506 5.150 4 top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_16_6/A->top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_16_6/Z SLICE_R39C64A CTOF_DEL 0.205 5.355 3 top_reveal_coretop_instance/core0/trig_u/decode_u/secured_signal_16_30 NET DELAY 0.553 5.908 3 top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_16_3/A->top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_16_3/Z SLICE_R38C64A CTOF_DEL 0.205 6.113 3 top_reveal_coretop_instance/core0/trig_u/tu_3/secured_signal_12_56 NET DELAY 0.273 6.386 3 top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_12_5/C->top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_12_5/Z SLICE_R38C63C CTOF_DEL 0.205 6.591 8 top_reveal_coretop_instance/core0/trig_u/tu_3/secured_signal_12_149 NET DELAY 0.726 7.317 8 {top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_12_107/CE top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_12_108/CE} ENDPOINT 0.000 7.317 1 Destination Clock Path { "path_begin": { "type":"pin", "log_name":"OSCA001.OSCA_inst/HFCLKOUT", "phy_name":"OSCA001.OSCA_inst/HFCLKOUT" }, "path_end": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_12_107/CLK", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_1.IqdwgKi79qkEwzIGgJpAaDba/CLK" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":8.888, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_143", "phy_name":"clk150" }, "arrive":11.290, "delay":2.402 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":11.290, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ---------------- -------- --------------------- ------ CONSTRAINT 0.000 8.888 1 OSCA001.OSCA_inst/HFCLKOUT OSC_CORE_OSC_CORE_R1C137 CLOCK LATENCY 0.000 8.888 337 top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_143 NET DELAY 2.402 11.290 337 {top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_12_107/CLK top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_12_108/CLK} CLOCK PIN 0.000 11.290 1 Uncertainty -(0.000) 11.290 Common Path Skew 0.030 11.320 Setup time -(0.184) 11.136 ---------------------------------------- ------------------------ ---------------- -------- --------------------- ------ Required Time 11.136 Arrival Time -(7.316) ---------------------------------------- ------------------------ ---------------- -------- --------------------- ------ Path Slack (Passed) 3.819 ++++ Path 8 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Path Begin : top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_11_100/Q (SLICE_R33C64D) Path End : top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_11_95/DF (SLICE_R41C65D) Source Clock : clk150 (R) Destination Clock: clk150 (R) Logic Level : 11 Delay Ratio : 56.6% (route), 43.4% (logic) Clock Skew : -0.142 ns Setup Constraint : 8.888 ns Common Path Skew : 0.030 ns Path Slack : 3.830 ns (Passed) Source Clock Path { "path_begin": { "type":"pin", "log_name":"OSCA001.OSCA_inst/HFCLKOUT", "phy_name":"OSCA001.OSCA_inst/HFCLKOUT" }, "path_end": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_11_99/CLK", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_2.IqdwgKi79qkEwzIGgJp0z77a/CLK" }, "path_sections":[ { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_143", "phy_name":"clk150" }, "arrive":2.544, "delay":2.544 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.544, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ---------------- --------- --------------------- ------ OSCA001.OSCA_inst/HFCLKOUT OSC_CORE_OSC_CORE_R1C137 CLOCK LATENCY 0.000 0.000 337 top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_143 NET DELAY 2.544 2.544 337 {top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_11_99/CLK top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_11_100/CLK} CLOCK PIN 0.000 2.544 1 Data Path { "path_begin": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_11_100/Q", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_2.IqdwgKi79qkEwzIGgJp0z77a/Q1" }, "path_end": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_11_95/DF", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_5.Ie0fvlc4DA.ff_inst/DI1" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_11_100/CLK", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_2.IqdwgKi79qkEwzIGgJp0z77a/CLK" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_11_100/Q", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_2.IqdwgKi79qkEwzIGgJp0z77a/Q1" }, "arrive":2.838, "delay":0.294 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_4/secured_signal_11_88", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_4.NhL6rK7kjDdr78xjJ[1]" }, "arrive":3.317, "delay":0.479 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_11_59/B", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_4.I4eFkhGaaF4d2hcoiIp8tmBEDKoC9wq/A1" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_11_59/Z", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_4.I4eFkhGaaF4d2hcoiIp8tmBEDKoC9wq/F1" }, "arrive":3.530, "delay":0.213 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_4/secured_signal_11_191", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_4.NbB8wfgotIwzDEkpqBbBwmEyCr" }, "arrive":3.915, "delay":0.385 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_11_60/D", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_4.I4eFkhGaaF4d2hcoiIp8tmBEDKoC9wq/C0" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_11_60/Z", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_4.I4eFkhGaaF4d2hcoiIp8tmBEDKoC9wq/F0" }, "arrive":4.120, "delay":0.205 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_4/secured_signal_11_162", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_4.NbcCj0hnGbwlAcxGFJcCzcy1FHvgL" }, "arrive":4.739, "delay":0.619 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_11_79/A1", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_4.Ida5ho54p4cb2kFtHoxIdwq/A1" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_11_79/COUT", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_4.Ida5ho54p4cb2kFtHoxIdwq/FCO" }, "arrive":5.056, "delay":0.317 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_4/secured_signal_11_112", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_4.NjzhBHr2GnijAwxcxurFentaE3a[0]" }, "arrive":5.056, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_11_78/CIN", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_4.IqdwgKi79qkEwzIGgJp6Hxba/FCI" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_11_78/COUT", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_4.IqdwgKi79qkEwzIGgJp6Hxba/FCO" }, "arrive":5.110, "delay":0.054 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_4/secured_signal_11_111", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_4.NjzhBHr2GnijAwxcxurFentaE3a[2]" }, "arrive":5.110, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_11_77/CIN", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_4.IqdwgKi79qkEwzIGgJp35b7a/FCI" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_11_77/COUT", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_4.IqdwgKi79qkEwzIGgJp35b7a/FCO" }, "arrive":5.164, "delay":0.054 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_4/secured_signal_11_110", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_4.NjzhBHr2GnijAwxcxurFentaE3a[4]" }, "arrive":5.164, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_11_76/CIN", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_4.IqdwgKi79qkEwzIGgJp0z77a/FCI" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_11_76/COUT", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_4.IqdwgKi79qkEwzIGgJp0z77a/FCO" }, "arrive":5.218, "delay":0.054 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_4/secured_signal_11_109", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_4.NjzhBHr2GnijAwxcxurFentaE3a[6]" }, "arrive":5.218, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_11_75/CIN", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_4.IqdwgKi79qkEwzIGgJpAaDba/FCI" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_11_75/S1", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_4.IqdwgKi79qkEwzIGgJpAaDba/F1" }, "arrive":5.499, "delay":0.281 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_4/secured_signal_11_130", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_4.NbcCj0hnGbwlAcxGFJcDfs1p4kmCr" }, "arrive":6.247, "delay":0.748 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_11_52/B", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_4.Ipfam7xdGoKjHfkmkJtvyczIdgq1CCkF2i[2]/A1" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_11_52/Z", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_4.Ipfam7xdGoKjHfkmkJtvyczIdgq1CCkF2i[2]/F1" }, "arrive":6.460, "delay":0.213 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_4/secured_signal_11_163", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_4.Ne1IDB4vm9" }, "arrive":6.610, "delay":0.150 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_11_90/D", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_4.ImmjHJuzggHxba/B1" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_11_90/Z", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_4.ImmjHJuzggHxba/OFX0" }, "arrive":6.884, "delay":0.274 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_4/secured_signal_11_165", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_4.NKvlC" }, "arrive":7.334, "delay":0.450 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_11_58/B", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_5.Ie0fvlc4DA.ff_inst/B1" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_11_58/Z", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_5.Ie0fvlc4DA.ff_inst/F1" }, "arrive":7.547, "delay":0.213 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_4/secured_signal_11_150", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_4.Ncd" }, "arrive":7.547, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":7.547, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ---------------- --------- --------------------- ------ top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_11_100/CLK->top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_11_100/Q SLICE_R33C64D REG_DEL 0.294 2.838 3 top_reveal_coretop_instance/core0/trig_u/tu_4/secured_signal_11_88 NET DELAY 0.479 3.317 3 top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_11_59/B->top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_11_59/Z SLICE_R32C65B CTOF_DEL 0.213 3.530 1 top_reveal_coretop_instance/core0/trig_u/tu_4/secured_signal_11_191 NET DELAY 0.385 3.915 1 top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_11_60/D->top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_11_60/Z SLICE_R32C65B CTOF_DEL 0.205 4.120 1 top_reveal_coretop_instance/core0/trig_u/tu_4/secured_signal_11_162 NET DELAY 0.619 4.739 1 top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_11_79/A1->top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_11_79/COUT SLICE_R30C69A C1TOFCO_DEL 0.317 5.056 1 top_reveal_coretop_instance/core0/trig_u/tu_4/secured_signal_11_112 NET DELAY 0.000 5.056 1 top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_11_78/CIN->top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_11_78/COUT SLICE_R30C69B FCITOFCO_DEL 0.054 5.110 1 top_reveal_coretop_instance/core0/trig_u/tu_4/secured_signal_11_111 NET DELAY 0.000 5.110 1 top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_11_77/CIN->top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_11_77/COUT SLICE_R30C69C FCITOFCO_DEL 0.054 5.164 1 top_reveal_coretop_instance/core0/trig_u/tu_4/secured_signal_11_110 NET DELAY 0.000 5.164 1 top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_11_76/CIN->top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_11_76/COUT SLICE_R30C69D FCITOFCO_DEL 0.054 5.218 1 top_reveal_coretop_instance/core0/trig_u/tu_4/secured_signal_11_109 NET DELAY 0.000 5.218 1 top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_11_75/CIN->top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_11_75/S1 SLICE_R30C70A FCITOF1_DEL 0.281 5.499 3 top_reveal_coretop_instance/core0/trig_u/tu_4/secured_signal_11_130 NET DELAY 0.748 6.247 3 top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_11_52/B->top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_11_52/Z SLICE_R35C66B CTOF_DEL 0.213 6.460 1 top_reveal_coretop_instance/core0/trig_u/tu_4/secured_signal_11_163 NET DELAY 0.150 6.610 1 top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_11_90/D->top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_11_90/Z SLICE_R35C66A CTOOF_DEL 0.274 6.884 1 top_reveal_coretop_instance/core0/trig_u/tu_4/secured_signal_11_165 NET DELAY 0.450 7.334 1 top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_11_58/B->top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_11_58/Z SLICE_R41C65D CTOF_DEL 0.213 7.547 1 top_reveal_coretop_instance/core0/trig_u/tu_4/secured_signal_11_150 NET DELAY 0.000 7.547 1 top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_11_95/DF ENDPOINT 0.000 7.547 1 Destination Clock Path { "path_begin": { "type":"pin", "log_name":"OSCA001.OSCA_inst/HFCLKOUT", "phy_name":"OSCA001.OSCA_inst/HFCLKOUT" }, "path_end": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_5/secured_instance_10_18/CLK", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_5.Ie0fvlc4DA.ff_inst/CLK" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":8.888, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_143", "phy_name":"clk150" }, "arrive":11.290, "delay":2.402 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":11.290, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ---------------- --------- --------------------- ------ CONSTRAINT 0.000 8.888 1 OSCA001.OSCA_inst/HFCLKOUT OSC_CORE_OSC_CORE_R1C137 CLOCK LATENCY 0.000 8.888 337 top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_143 NET DELAY 2.402 11.290 337 {top_reveal_coretop_instance/core0/trig_u/tu_5/secured_instance_10_18/CLK top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_11_95/CLK} CLOCK PIN 0.000 11.290 1 Uncertainty -(0.000) 11.290 Common Path Skew 0.030 11.320 Setup time -(-0.057) 11.377 ---------------------------------------- ------------------------ ---------------- --------- --------------------- ------ Required Time 11.377 Arrival Time -(7.546) ---------------------------------------- ------------------------ ---------------- --------- --------------------- ------ Path Slack (Passed) 3.830 ++++ Path 9 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Path Begin : top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_12_100/Q (SLICE_R36C63D) Path End : top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_12_95/DF (SLICE_R39C63D) Source Clock : clk150 (R) Destination Clock: clk150 (R) Logic Level : 11 Delay Ratio : 56.2% (route), 43.8% (logic) Clock Skew : -0.142 ns Setup Constraint : 8.888 ns Common Path Skew : 0.030 ns Path Slack : 3.975 ns (Passed) Source Clock Path { "path_begin": { "type":"pin", "log_name":"OSCA001.OSCA_inst/HFCLKOUT", "phy_name":"OSCA001.OSCA_inst/HFCLKOUT" }, "path_end": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_12_99/CLK", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_2.I1fEtAHy4nzLlxF4uC9wq/CLK" }, "path_sections":[ { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_143", "phy_name":"clk150" }, "arrive":2.544, "delay":2.544 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.544, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ---------------- --------- --------------------- ------ OSCA001.OSCA_inst/HFCLKOUT OSC_CORE_OSC_CORE_R1C137 CLOCK LATENCY 0.000 0.000 337 top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_143 NET DELAY 2.544 2.544 337 {top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_12_99/CLK top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_12_100/CLK} CLOCK PIN 0.000 2.544 1 Data Path { "path_begin": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_12_100/Q", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_2.I1fEtAHy4nzLlxF4uC9wq/Q1" }, "path_end": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_12_95/DF", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.Ie0fvlc4DA.ff_inst/DI0" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_12_100/CLK", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_2.I1fEtAHy4nzLlxF4uC9wq/CLK" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_12_100/Q", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_2.I1fEtAHy4nzLlxF4uC9wq/Q1" }, "arrive":2.838, "delay":0.294 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_signal_12_88", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.NhL6rK7kjDdr78xjJ[1]" }, "arrive":3.239, "delay":0.401 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_12_59/B", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.I4eFkhGaaF4d2hcoiIp8tmBEDKoC9wq/B1" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_12_59/Z", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.I4eFkhGaaF4d2hcoiIp8tmBEDKoC9wq/F1" }, "arrive":3.444, "delay":0.205 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_signal_12_191", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.NbB8wfgotIwzDEkpqBbBwmEyCr" }, "arrive":3.840, "delay":0.396 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_12_60/D", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.I4eFkhGaaF4d2hcoiIp8tmBEDKoC9wq/B0" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_12_60/Z", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.I4eFkhGaaF4d2hcoiIp8tmBEDKoC9wq/F0" }, "arrive":4.045, "delay":0.205 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_signal_12_162", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.NbcCj0hnGbwlAcxGFJcCzcy1FHvgL" }, "arrive":4.829, "delay":0.784 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_12_79/A1", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.Ida5ho54p4cb2kFtHoxIdwq/A1" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_12_79/COUT", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.Ida5ho54p4cb2kFtHoxIdwq/FCO" }, "arrive":5.146, "delay":0.317 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_signal_12_112", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.NjzhBHr2GnijAwxcxurFentaE3a[0]" }, "arrive":5.146, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_12_78/CIN", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.IqdwgKi79qkEwzIGgJp6Hxba/FCI" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_12_78/COUT", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.IqdwgKi79qkEwzIGgJp6Hxba/FCO" }, "arrive":5.200, "delay":0.054 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_signal_12_111", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.NjzhBHr2GnijAwxcxurFentaE3a[2]" }, "arrive":5.200, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_12_77/CIN", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.IqdwgKi79qkEwzIGgJp35b7a/FCI" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_12_77/COUT", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.IqdwgKi79qkEwzIGgJp35b7a/FCO" }, "arrive":5.254, "delay":0.054 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_signal_12_110", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.NjzhBHr2GnijAwxcxurFentaE3a[4]" }, "arrive":5.254, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_12_76/CIN", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.IqdwgKi79qkEwzIGgJp0z77a/FCI" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_12_76/COUT", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.IqdwgKi79qkEwzIGgJp0z77a/FCO" }, "arrive":5.308, "delay":0.054 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_signal_12_109", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.NjzhBHr2GnijAwxcxurFentaE3a[6]" }, "arrive":5.308, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_12_75/CIN", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.IqdwgKi79qkEwzIGgJpAaDba/FCI" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_12_75/S1", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.IqdwgKi79qkEwzIGgJpAaDba/F1" }, "arrive":5.544, "delay":0.236 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_signal_12_130", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.NbcCj0hnGbwlAcxGFJcDfs1p4kmCr" }, "arrive":6.312, "delay":0.768 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_12_52/B", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.Ipfam7xdGoKjHfkmkJtvyczIdgq1CCkF2i[2]/B1" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_12_52/Z", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.Ipfam7xdGoKjHfkmkJtvyczIdgq1CCkF2i[2]/F1" }, "arrive":6.525, "delay":0.213 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_signal_12_163", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.Ne1IDB4vm9" }, "arrive":6.679, "delay":0.154 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_12_90/D", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.ImmjHJuzggHxba/C1" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_12_90/Z", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.ImmjHJuzggHxba/OFX0" }, "arrive":6.964, "delay":0.285 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_signal_12_165", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.NKvlC" }, "arrive":7.190, "delay":0.226 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_12_58/B", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.Ie0fvlc4DA.ff_inst/D0" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_12_58/Z", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.Ie0fvlc4DA.ff_inst/F0" }, "arrive":7.403, "delay":0.213 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_signal_12_150", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.Ncd" }, "arrive":7.403, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":7.403, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ---------------- --------- --------------------- ------ top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_12_100/CLK->top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_12_100/Q SLICE_R36C63D REG_DEL 0.294 2.838 3 top_reveal_coretop_instance/core0/trig_u/tu_3/secured_signal_12_88 NET DELAY 0.401 3.239 3 top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_12_59/B->top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_12_59/Z SLICE_R35C60D CTOF_DEL 0.205 3.444 1 top_reveal_coretop_instance/core0/trig_u/tu_3/secured_signal_12_191 NET DELAY 0.396 3.840 1 top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_12_60/D->top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_12_60/Z SLICE_R35C60D CTOF_DEL 0.205 4.045 1 top_reveal_coretop_instance/core0/trig_u/tu_3/secured_signal_12_162 NET DELAY 0.784 4.829 1 top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_12_79/A1->top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_12_79/COUT SLICE_R27C64A C1TOFCO_DEL 0.317 5.146 1 top_reveal_coretop_instance/core0/trig_u/tu_3/secured_signal_12_112 NET DELAY 0.000 5.146 1 top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_12_78/CIN->top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_12_78/COUT SLICE_R27C64B FCITOFCO_DEL 0.054 5.200 1 top_reveal_coretop_instance/core0/trig_u/tu_3/secured_signal_12_111 NET DELAY 0.000 5.200 1 top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_12_77/CIN->top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_12_77/COUT SLICE_R27C64C FCITOFCO_DEL 0.054 5.254 1 top_reveal_coretop_instance/core0/trig_u/tu_3/secured_signal_12_110 NET DELAY 0.000 5.254 1 top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_12_76/CIN->top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_12_76/COUT SLICE_R27C64D FCITOFCO_DEL 0.054 5.308 1 top_reveal_coretop_instance/core0/trig_u/tu_3/secured_signal_12_109 NET DELAY 0.000 5.308 1 top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_12_75/CIN->top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_12_75/S1 SLICE_R27C65A FCITOF1_DEL 0.236 5.544 3 top_reveal_coretop_instance/core0/trig_u/tu_3/secured_signal_12_130 NET DELAY 0.768 6.312 3 top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_12_52/B->top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_12_52/Z SLICE_R39C61C CTOF_DEL 0.213 6.525 1 top_reveal_coretop_instance/core0/trig_u/tu_3/secured_signal_12_163 NET DELAY 0.154 6.679 1 top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_12_90/D->top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_12_90/Z SLICE_R39C61B CTOOF_DEL 0.285 6.964 1 top_reveal_coretop_instance/core0/trig_u/tu_3/secured_signal_12_165 NET DELAY 0.226 7.190 1 top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_12_58/B->top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_12_58/Z SLICE_R39C63D CTOF_DEL 0.213 7.403 1 top_reveal_coretop_instance/core0/trig_u/tu_3/secured_signal_12_150 NET DELAY 0.000 7.403 1 top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_12_95/DF ENDPOINT 0.000 7.403 1 Destination Clock Path { "path_begin": { "type":"pin", "log_name":"OSCA001.OSCA_inst/HFCLKOUT", "phy_name":"OSCA001.OSCA_inst/HFCLKOUT" }, "path_end": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_12_95/CLK", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.Ie0fvlc4DA.ff_inst/CLK" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":8.888, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_143", "phy_name":"clk150" }, "arrive":11.290, "delay":2.402 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":11.290, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ---------------- --------- --------------------- ------ CONSTRAINT 0.000 8.888 1 OSCA001.OSCA_inst/HFCLKOUT OSC_CORE_OSC_CORE_R1C137 CLOCK LATENCY 0.000 8.888 337 top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_143 NET DELAY 2.402 11.290 337 {top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_12_95/CLK top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_13_95/CLK} CLOCK PIN 0.000 11.290 1 Uncertainty -(0.000) 11.290 Common Path Skew 0.030 11.320 Setup time -(-0.058) 11.378 ---------------------------------------- ------------------------ ---------------- --------- --------------------- ------ Required Time 11.378 Arrival Time -(7.402) ---------------------------------------- ------------------------ ---------------- --------- --------------------- ------ Path Slack (Passed) 3.975 ++++ Path 10 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Path Begin : top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_13_132/Q (SLICE_R35C66C) Path End : top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_13_95/DF (SLICE_R39C63D) Source Clock : clk150 (R) Destination Clock: clk150 (R) Logic Level : 11 Delay Ratio : 56.5% (route), 43.5% (logic) Clock Skew : -0.142 ns Setup Constraint : 8.888 ns Common Path Skew : 0.030 ns Path Slack : 3.981 ns (Passed) Source Clock Path { "path_begin": { "type":"pin", "log_name":"OSCA001.OSCA_inst/HFCLKOUT", "phy_name":"OSCA001.OSCA_inst/HFCLKOUT" }, "path_end": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_13_132/CLK", "phy_name":"top_reveal_coretop_instance.core0.trig_u.te_2.IJAFgdveAcL0sjz77b/CLK" }, "path_sections":[ { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_143", "phy_name":"clk150" }, "arrive":2.544, "delay":2.544 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.544, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ---------------- --------- --------------------- ------ OSCA001.OSCA_inst/HFCLKOUT OSC_CORE_OSC_CORE_R1C137 CLOCK LATENCY 0.000 0.000 337 top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_143 NET DELAY 2.544 2.544 337 {top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_13_132/CLK top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_13_133/CLK} CLOCK PIN 0.000 2.544 1 Data Path { "path_begin": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_13_132/Q", "phy_name":"top_reveal_coretop_instance.core0.trig_u.te_2.IJAFgdveAcL0sjz77b/Q0" }, "path_end": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_13_95/DF", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.Ie0fvlc4DA.ff_inst/DI1" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_13_132/CLK", "phy_name":"top_reveal_coretop_instance.core0.trig_u.te_2.IJAFgdveAcL0sjz77b/CLK" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_13_132/Q", "phy_name":"top_reveal_coretop_instance.core0.trig_u.te_2.IJAFgdveAcL0sjz77b/Q0" }, "arrive":2.837, "delay":0.293 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_2/secured_signal_13_132", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_2.Nc8pHcEsIxIrb" }, "arrive":3.371, "delay":0.534 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_13_59/C", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_2.I4eFkhGaaF4d2hcoiIp8tmBEDKoC9wq/D1" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_13_59/Z", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_2.I4eFkhGaaF4d2hcoiIp8tmBEDKoC9wq/F1" }, "arrive":3.576, "delay":0.205 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_2/secured_signal_13_191", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_2.NbB8wfgotIwzDEkpqBbBwmEyCr" }, "arrive":3.995, "delay":0.419 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_13_60/D", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_2.I4eFkhGaaF4d2hcoiIp8tmBEDKoC9wq/A0" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_13_60/Z", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_2.I4eFkhGaaF4d2hcoiIp8tmBEDKoC9wq/F0" }, "arrive":4.200, "delay":0.205 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_2/secured_signal_13_162", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_2.NbcCj0hnGbwlAcxGFJcCzcy1FHvgL" }, "arrive":4.723, "delay":0.523 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_13_79/A1", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_2.Ida5ho54p4cb2kFtHoxIdwq/A1" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_13_79/COUT", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_2.Ida5ho54p4cb2kFtHoxIdwq/FCO" }, "arrive":5.040, "delay":0.317 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_2/secured_signal_13_112", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_2.NjzhBHr2GnijAwxcxurFentaE3a[0]" }, "arrive":5.040, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_13_78/CIN", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_2.IqdwgKi79qkEwzIGgJp6Hxba/FCI" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_13_78/COUT", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_2.IqdwgKi79qkEwzIGgJp6Hxba/FCO" }, "arrive":5.094, "delay":0.054 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_2/secured_signal_13_111", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_2.NjzhBHr2GnijAwxcxurFentaE3a[2]" }, "arrive":5.094, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_13_77/CIN", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_2.IqdwgKi79qkEwzIGgJp35b7a/FCI" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_13_77/COUT", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_2.IqdwgKi79qkEwzIGgJp35b7a/FCO" }, "arrive":5.148, "delay":0.054 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_2/secured_signal_13_110", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_2.NjzhBHr2GnijAwxcxurFentaE3a[4]" }, "arrive":5.148, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_13_76/CIN", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_2.IqdwgKi79qkEwzIGgJp0z77a/FCI" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_13_76/COUT", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_2.IqdwgKi79qkEwzIGgJp0z77a/FCO" }, "arrive":5.202, "delay":0.054 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_2/secured_signal_13_109", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_2.NjzhBHr2GnijAwxcxurFentaE3a[6]" }, "arrive":5.202, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_13_75/CIN", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_2.IqdwgKi79qkEwzIGgJpAaDba/FCI" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_13_75/S1", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_2.IqdwgKi79qkEwzIGgJpAaDba/F1" }, "arrive":5.438, "delay":0.236 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_2/secured_signal_13_130", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_2.NbcCj0hnGbwlAcxGFJcDfs1p4kmCr" }, "arrive":6.017, "delay":0.579 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_13_52/B", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_2.Ipfam7xdGoKjHfkmkJtvyczIdgq1CCkF2i[2]/D1" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_13_52/Z", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_2.Ipfam7xdGoKjHfkmkJtvyczIdgq1CCkF2i[2]/F1" }, "arrive":6.222, "delay":0.205 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_2/secured_signal_13_163", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_2.Ne1IDB4vm9" }, "arrive":6.568, "delay":0.346 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_13_90/D", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_2.ImmjHJuzggHxba/D1" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_13_90/Z", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_2.ImmjHJuzggHxba/OFX0" }, "arrive":6.845, "delay":0.277 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_2/secured_signal_13_165", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_2.NKvlC" }, "arrive":7.183, "delay":0.338 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_13_58/B", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.Ie0fvlc4DA.ff_inst/D1" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_13_58/Z", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.Ie0fvlc4DA.ff_inst/F1" }, "arrive":7.396, "delay":0.213 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_2/secured_signal_13_150", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_2.Ncd" }, "arrive":7.396, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":7.396, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ---------------- --------- --------------------- ------ top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_13_132/CLK->top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_13_132/Q SLICE_R35C66C REG_DEL 0.293 2.837 5 top_reveal_coretop_instance/core0/trig_u/tu_2/secured_signal_13_132 NET DELAY 0.534 3.371 5 top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_13_59/C->top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_13_59/Z SLICE_R36C62B CTOF_DEL 0.205 3.576 1 top_reveal_coretop_instance/core0/trig_u/tu_2/secured_signal_13_191 NET DELAY 0.419 3.995 1 top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_13_60/D->top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_13_60/Z SLICE_R36C62B CTOF_DEL 0.205 4.200 1 top_reveal_coretop_instance/core0/trig_u/tu_2/secured_signal_13_162 NET DELAY 0.523 4.723 1 top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_13_79/A1->top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_13_79/COUT SLICE_R33C64A C1TOFCO_DEL 0.317 5.040 1 top_reveal_coretop_instance/core0/trig_u/tu_2/secured_signal_13_112 NET DELAY 0.000 5.040 1 top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_13_78/CIN->top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_13_78/COUT SLICE_R33C64B FCITOFCO_DEL 0.054 5.094 1 top_reveal_coretop_instance/core0/trig_u/tu_2/secured_signal_13_111 NET DELAY 0.000 5.094 1 top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_13_77/CIN->top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_13_77/COUT SLICE_R33C64C FCITOFCO_DEL 0.054 5.148 1 top_reveal_coretop_instance/core0/trig_u/tu_2/secured_signal_13_110 NET DELAY 0.000 5.148 1 top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_13_76/CIN->top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_13_76/COUT SLICE_R33C64D FCITOFCO_DEL 0.054 5.202 1 top_reveal_coretop_instance/core0/trig_u/tu_2/secured_signal_13_109 NET DELAY 0.000 5.202 1 top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_13_75/CIN->top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_13_75/S1 SLICE_R33C65A FCITOF1_DEL 0.236 5.438 3 top_reveal_coretop_instance/core0/trig_u/tu_2/secured_signal_13_130 NET DELAY 0.579 6.017 3 top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_13_52/B->top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_13_52/Z SLICE_R38C63D CTOF_DEL 0.205 6.222 1 top_reveal_coretop_instance/core0/trig_u/tu_2/secured_signal_13_163 NET DELAY 0.346 6.568 1 top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_13_90/D->top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_13_90/Z SLICE_R38C64C CTOOF_DEL 0.277 6.845 1 top_reveal_coretop_instance/core0/trig_u/tu_2/secured_signal_13_165 NET DELAY 0.338 7.183 1 top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_13_58/B->top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_13_58/Z SLICE_R39C63D CTOF_DEL 0.213 7.396 1 top_reveal_coretop_instance/core0/trig_u/tu_2/secured_signal_13_150 NET DELAY 0.000 7.396 1 top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_13_95/DF ENDPOINT 0.000 7.396 1 Destination Clock Path { "path_begin": { "type":"pin", "log_name":"OSCA001.OSCA_inst/HFCLKOUT", "phy_name":"OSCA001.OSCA_inst/HFCLKOUT" }, "path_end": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_12_95/CLK", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.Ie0fvlc4DA.ff_inst/CLK" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":8.888, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_143", "phy_name":"clk150" }, "arrive":11.290, "delay":2.402 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":11.290, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ---------------- --------- --------------------- ------ CONSTRAINT 0.000 8.888 1 OSCA001.OSCA_inst/HFCLKOUT OSC_CORE_OSC_CORE_R1C137 CLOCK LATENCY 0.000 8.888 337 top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_143 NET DELAY 2.402 11.290 337 {top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_12_95/CLK top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_13_95/CLK} CLOCK PIN 0.000 11.290 1 Uncertainty -(0.000) 11.290 Common Path Skew 0.030 11.320 Setup time -(-0.057) 11.377 ---------------------------------------- ------------------------ ---------------- --------- --------------------- ------ Required Time 11.377 Arrival Time -(7.395) ---------------------------------------- ------------------------ ---------------- --------- --------------------- ------ Path Slack (Passed) 3.981 +++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ End of Detailed Report for timing paths +++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ ########################################################## 4 Hold at Speed Grade m Corner at 0 Degrees 4.1 Endpoint slacks ------------------------------------------------------- Listing 10 End Points | Slack ------------------------------------------------------- top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_11_122/DF | 0.167 ns top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_14_122/DF | 0.169 ns top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_478/DF | 0.169 ns RST001/Rst_Sync.ff_inst/DF | 0.169 ns top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_14_123/DF | 0.170 ns top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_13_125/DF | 0.170 ns top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_12_129/DF | 0.171 ns top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_12_120/DF | 0.171 ns top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_11_128/DF | 0.171 ns top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_12_115/DF | 0.171 ns ------------------------------------------------------- | Hold # of endpoints with negative slack: | 0 | ------------------------------------------------------- 4.2 Detailed Report XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX Detail report of critical paths XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX +++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Detailed Report for timing paths +++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ ++++Path 1 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Path Begin : top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_11_138/Q (SLICE_R32C66B) Path End : top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_11_122/DF (SLICE_R32C66D) Source Clock : clk150 (R) Destination Clock: clk150 (R) Logic Level : 1 Delay Ratio : 32.6% (route), 67.4% (logic) Clock Skew : 0.111 ns Hold Constraint : 0.000 ns Common Path Skew : -0.108 ns Path Slack : 0.167 ns (Passed) Source Clock Path { "path_begin": { "type":"pin", "log_name":"OSCA001.OSCA_inst/HFCLKOUT", "phy_name":"OSCA001.OSCA_inst/HFCLKOUT" }, "path_end": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_11_138/CLK", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_4.IeJDtew0zBltkd7wB01B/CLK" }, "path_sections":[ { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_143", "phy_name":"clk150" }, "arrive":1.767, "delay":1.767 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":1.767, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ---------------- ------ --------------------- ------ OSCA001.OSCA_inst/HFCLKOUT OSC_CORE_OSC_CORE_R1C137 CLOCK LATENCY 0.000 0.000 338 top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_143 NET DELAY 1.767 1.767 338 {top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_11_138/CLK top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_11_142/CLK} CLOCK PIN 0.000 1.767 1 Data Path { "path_begin": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_11_138/Q", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_4.IeJDtew0zBltkd7wB01B/Q0" }, "path_end": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_11_122/DF", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_4.I0usfDEnzw2Cv98zyn97d/M1" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_11_138/CLK", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_4.IeJDtew0zBltkd7wB01B/CLK" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_11_138/Q", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_4.IeJDtew0zBltkd7wB01B/Q0" }, "arrive":1.945, "delay":0.178 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_4/secured_signal_11_138", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_4.Nc8pHcEsIxIrh" }, "arrive":2.031, "delay":0.086 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.031, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ---------------- ------ --------------------- ------ top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_11_138/CLK->top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_11_138/Q SLICE_R32C66B REG_DEL 0.178 1.945 5 top_reveal_coretop_instance/core0/trig_u/tu_4/secured_signal_11_138 NET DELAY 0.086 2.031 5 top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_11_122/DF ENDPOINT 0.000 2.031 1 Destination Clock Path { "path_begin": { "type":"pin", "log_name":"OSCA001.OSCA_inst/HFCLKOUT", "phy_name":"OSCA001.OSCA_inst/HFCLKOUT" }, "path_end": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_11_121/CLK", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_4.I0usfDEnzw2Cv98zyn97d/CLK" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":0.000, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_143", "phy_name":"clk150" }, "arrive":1.878, "delay":1.878 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":1.878, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ---------------- ------ --------------------- ------ CONSTRAINT 0.000 0.000 1 OSCA001.OSCA_inst/HFCLKOUT OSC_CORE_OSC_CORE_R1C137 CLOCK LATENCY 0.000 0.000 338 top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_143 NET DELAY 1.878 1.878 338 {top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_11_121/CLK top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_11_122/CLK} CLOCK PIN 0.000 1.878 1 Uncertainty 0.000 1.878 Common Path Skew -0.108 1.770 Hold time 0.094 1.864 ---------------------------------------- ------------------------ ---------------- ------ --------------------- ------ Required Time -1.864 Arrival Time 2.031 ---------------------------------------- ------------------------ ---------------- ------ --------------------- ------ Path Slack (Passed) 0.167 ++++ Path 2 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Path Begin : top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_14_138/Q (SLICE_R33C60C) Path End : top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_14_122/DF (SLICE_R33C60D) Source Clock : clk150 (R) Destination Clock: clk150 (R) Logic Level : 1 Delay Ratio : 32.6% (route), 67.4% (logic) Clock Skew : 0.111 ns Hold Constraint : 0.000 ns Common Path Skew : -0.110 ns Path Slack : 0.169 ns (Passed) Source Clock Path { "path_begin": { "type":"pin", "log_name":"OSCA001.OSCA_inst/HFCLKOUT", "phy_name":"OSCA001.OSCA_inst/HFCLKOUT" }, "path_end": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_14_138/CLK", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_1.Ix4LFxrk0hFsajtbF9BlB/CLK" }, "path_sections":[ { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_143", "phy_name":"clk150" }, "arrive":1.767, "delay":1.767 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":1.767, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ---------------- ------ --------------------- ------ OSCA001.OSCA_inst/HFCLKOUT OSC_CORE_OSC_CORE_R1C137 CLOCK LATENCY 0.000 0.000 338 top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_143 NET DELAY 1.767 1.767 338 {top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_14_138/CLK top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_14_142/CLK} CLOCK PIN 0.000 1.767 1 Data Path { "path_begin": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_14_138/Q", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_1.Ix4LFxrk0hFsajtbF9BlB/Q0" }, "path_end": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_14_122/DF", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_1.IeJDtew0zBltkd7wB01B/M1" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_14_138/CLK", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_1.Ix4LFxrk0hFsajtbF9BlB/CLK" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_14_138/Q", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_1.Ix4LFxrk0hFsajtbF9BlB/Q0" }, "arrive":1.945, "delay":0.178 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_1/secured_signal_14_138", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_1.Nc8pHcEsIxIrh" }, "arrive":2.031, "delay":0.086 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.031, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ---------------- ------ --------------------- ------ top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_14_138/CLK->top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_14_138/Q SLICE_R33C60C REG_DEL 0.178 1.945 5 top_reveal_coretop_instance/core0/trig_u/tu_1/secured_signal_14_138 NET DELAY 0.086 2.031 5 top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_14_122/DF ENDPOINT 0.000 2.031 1 Destination Clock Path { "path_begin": { "type":"pin", "log_name":"OSCA001.OSCA_inst/HFCLKOUT", "phy_name":"OSCA001.OSCA_inst/HFCLKOUT" }, "path_end": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_14_121/CLK", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_1.IeJDtew0zBltkd7wB01B/CLK" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":0.000, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_143", "phy_name":"clk150" }, "arrive":1.878, "delay":1.878 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":1.878, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ---------------- ------ --------------------- ------ CONSTRAINT 0.000 0.000 1 OSCA001.OSCA_inst/HFCLKOUT OSC_CORE_OSC_CORE_R1C137 CLOCK LATENCY 0.000 0.000 338 top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_143 NET DELAY 1.878 1.878 338 {top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_14_121/CLK top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_14_122/CLK} CLOCK PIN 0.000 1.878 1 Uncertainty 0.000 1.878 Common Path Skew -0.110 1.768 Hold time 0.094 1.862 ---------------------------------------- ------------------------ ---------------- ------ --------------------- ------ Required Time -1.862 Arrival Time 2.031 ---------------------------------------- ------------------------ ---------------- ------ --------------------- ------ Path Slack (Passed) 0.169 ++++ Path 3 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Path Begin : top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_477/Q (SLICE_R41C72D) Path End : top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_478/DF (SLICE_R41C72D) Source Clock : clk150 (R) Destination Clock: clk150 (R) Logic Level : 1 Delay Ratio : 32.6% (route), 67.4% (logic) Clock Skew : 0.111 ns Hold Constraint : 0.000 ns Common Path Skew : -0.110 ns Path Slack : 0.169 ns (Passed) Source Clock Path { "path_begin": { "type":"pin", "log_name":"OSCA001.OSCA_inst/HFCLKOUT", "phy_name":"OSCA001.OSCA_inst/HFCLKOUT" }, "path_end": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_477/CLK", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.Ie3nFILyd5q303pD9C8l[0].ff_inst/CLK" }, "path_sections":[ { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_143", "phy_name":"clk150" }, "arrive":1.767, "delay":1.767 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":1.767, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ---------------- ------ --------------------- ------ OSCA001.OSCA_inst/HFCLKOUT OSC_CORE_OSC_CORE_R1C137 CLOCK LATENCY 0.000 0.000 338 top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_143 NET DELAY 1.767 1.767 338 {top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_477/CLK top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_478/CLK} CLOCK PIN 0.000 1.767 1 Data Path { "path_begin": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_477/Q", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.Ie3nFILyd5q303pD9C8l[0].ff_inst/Q0" }, "path_end": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_478/DF", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.Ie3nFILyd5q303pD9C8l[0].ff_inst/M1" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_477/CLK", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.Ie3nFILyd5q303pD9C8l[0].ff_inst/CLK" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_477/Q", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.Ie3nFILyd5q303pD9C8l[0].ff_inst/Q0" }, "arrive":1.945, "delay":0.178 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_signal_2_160", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.Ne3nFILyd5q303pD9C8l[0]" }, "arrive":2.031, "delay":0.086 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.031, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ---------------- ------ --------------------- ------ top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_477/CLK->top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_477/Q SLICE_R41C72D REG_DEL 0.178 1.945 1 top_reveal_coretop_instance/core0/jtag_int_u/secured_signal_2_160 NET DELAY 0.086 2.031 1 top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_478/DF ENDPOINT 0.000 2.031 1 Destination Clock Path { "path_begin": { "type":"pin", "log_name":"OSCA001.OSCA_inst/HFCLKOUT", "phy_name":"OSCA001.OSCA_inst/HFCLKOUT" }, "path_end": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_477/CLK", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.Ie3nFILyd5q303pD9C8l[0].ff_inst/CLK" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":0.000, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_143", "phy_name":"clk150" }, "arrive":1.878, "delay":1.878 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":1.878, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ---------------- ------ --------------------- ------ CONSTRAINT 0.000 0.000 1 OSCA001.OSCA_inst/HFCLKOUT OSC_CORE_OSC_CORE_R1C137 CLOCK LATENCY 0.000 0.000 338 top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_143 NET DELAY 1.878 1.878 338 {top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_477/CLK top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_478/CLK} CLOCK PIN 0.000 1.878 1 Uncertainty 0.000 1.878 Common Path Skew -0.110 1.768 Hold time 0.094 1.862 ---------------------------------------- ------------------------ ---------------- ------ --------------------- ------ Required Time -1.862 Arrival Time 2.031 ---------------------------------------- ------------------------ ---------------- ------ --------------------- ------ Path Slack (Passed) 0.169 ++++ Path 4 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Path Begin : RST001/Rst_Sync1_reg.ff_inst/Q (SLICE_R35C75A) Path End : RST001/Rst_Sync.ff_inst/DF (SLICE_R35C75A) Source Clock : clk150 (R) Destination Clock: clk150 (R) Logic Level : 1 Delay Ratio : 32.6% (route), 67.4% (logic) Clock Skew : 0.111 ns Hold Constraint : 0.000 ns Common Path Skew : -0.110 ns Path Slack : 0.169 ns (Passed) Source Clock Path { "path_begin": { "type":"pin", "log_name":"OSCA001.OSCA_inst/HFCLKOUT", "phy_name":"OSCA001.OSCA_inst/HFCLKOUT" }, "path_end": { "type":"pin", "log_name":"RST001/Rst_Sync1_reg.ff_inst/CLK", "phy_name":"op_neq.un1_CNT1_0_I_45_0/CLK" }, "path_sections":[ { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_143", "phy_name":"clk150" }, "arrive":1.767, "delay":1.767 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":1.767, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ---------------- ------ --------------------- ------ OSCA001.OSCA_inst/HFCLKOUT OSC_CORE_OSC_CORE_R1C137 CLOCK LATENCY 0.000 0.000 338 top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_143 NET DELAY 1.767 1.767 338 {RST001/Rst_Sync1_reg.ff_inst/CLK RST001/Rst_Sync.ff_inst/CLK} CLOCK PIN 0.000 1.767 1 Data Path { "path_begin": { "type":"pin", "log_name":"RST001/Rst_Sync1_reg.ff_inst/Q", "phy_name":"op_neq.un1_CNT1_0_I_45_0/Q0" }, "path_end": { "type":"pin", "log_name":"RST001/Rst_Sync.ff_inst/DF", "phy_name":"op_neq.un1_CNT1_0_I_45_0/M1" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"RST001/Rst_Sync1_reg.ff_inst/CLK", "phy_name":"op_neq.un1_CNT1_0_I_45_0/CLK" }, "pin1": { "log_name":"RST001/Rst_Sync1_reg.ff_inst/Q", "phy_name":"op_neq.un1_CNT1_0_I_45_0/Q0" }, "arrive":1.945, "delay":0.178 }, { "type":"net_delay", "net": { "log_name":"RST001/Rst_Sync1", "phy_name":"RST001.Rst_Sync1" }, "arrive":2.031, "delay":0.086 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.031, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ---------------- ------ --------------------- ------ RST001/Rst_Sync1_reg.ff_inst/CLK->RST001/Rst_Sync1_reg.ff_inst/Q SLICE_R35C75A REG_DEL 0.178 1.945 1 RST001/Rst_Sync1 NET DELAY 0.086 2.031 1 RST001/Rst_Sync.ff_inst/DF ENDPOINT 0.000 2.031 1 Destination Clock Path { "path_begin": { "type":"pin", "log_name":"OSCA001.OSCA_inst/HFCLKOUT", "phy_name":"OSCA001.OSCA_inst/HFCLKOUT" }, "path_end": { "type":"pin", "log_name":"RST001/Rst_Sync1_reg.ff_inst/CLK", "phy_name":"op_neq.un1_CNT1_0_I_45_0/CLK" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":0.000, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_143", "phy_name":"clk150" }, "arrive":1.878, "delay":1.878 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":1.878, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ---------------- ------ --------------------- ------ CONSTRAINT 0.000 0.000 1 OSCA001.OSCA_inst/HFCLKOUT OSC_CORE_OSC_CORE_R1C137 CLOCK LATENCY 0.000 0.000 338 top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_143 NET DELAY 1.878 1.878 338 {RST001/Rst_Sync1_reg.ff_inst/CLK RST001/Rst_Sync.ff_inst/CLK} CLOCK PIN 0.000 1.878 1 Uncertainty 0.000 1.878 Common Path Skew -0.110 1.768 Hold time 0.094 1.862 ---------------------------------------- ------------------------ ---------------- ------ --------------------- ------ Required Time -1.862 Arrival Time 2.031 ---------------------------------------- ------------------------ ---------------- ------ --------------------- ------ Path Slack (Passed) 0.169 ++++ Path 5 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Path Begin : top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_14_139/Q (SLICE_R33C59A) Path End : top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_14_123/DF (SLICE_R33C59B) Source Clock : clk150 (R) Destination Clock: clk150 (R) Logic Level : 1 Delay Ratio : 32.5% (route), 67.5% (logic) Clock Skew : 0.111 ns Hold Constraint : 0.000 ns Common Path Skew : -0.110 ns Path Slack : 0.170 ns (Passed) Source Clock Path { "path_begin": { "type":"pin", "log_name":"OSCA001.OSCA_inst/HFCLKOUT", "phy_name":"OSCA001.OSCA_inst/HFCLKOUT" }, "path_end": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_14_131/CLK", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_1.I4eFmtyi6vhpEAwtGAB8zI4AcKlEzbd[0]/CLK" }, "path_sections":[ { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_143", "phy_name":"clk150" }, "arrive":1.767, "delay":1.767 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":1.767, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ---------------- ------ --------------------- ------ OSCA001.OSCA_inst/HFCLKOUT OSC_CORE_OSC_CORE_R1C137 CLOCK LATENCY 0.000 0.000 338 top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_143 NET DELAY 1.767 1.767 338 {top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_14_131/CLK top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_14_139/CLK} CLOCK PIN 0.000 1.767 1 Data Path { "path_begin": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_14_139/Q", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_1.I4eFmtyi6vhpEAwtGAB8zI4AcKlEzbd[0]/Q1" }, "path_end": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_14_123/DF", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_1.Ix4LFxrk0hFsajtbF9Bl9/M0" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_14_139/CLK", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_1.I4eFmtyi6vhpEAwtGAB8zI4AcKlEzbd[0]/CLK" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_14_139/Q", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_1.I4eFmtyi6vhpEAwtGAB8zI4AcKlEzbd[0]/Q1" }, "arrive":1.946, "delay":0.179 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_1/secured_signal_14_139", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_1.Nc8pHcEsIxIri" }, "arrive":2.032, "delay":0.086 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.032, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ---------------- ------ --------------------- ------ top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_14_139/CLK->top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_14_139/Q SLICE_R33C59A REG_DEL 0.179 1.946 5 top_reveal_coretop_instance/core0/trig_u/tu_1/secured_signal_14_139 NET DELAY 0.086 2.032 5 top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_14_123/DF ENDPOINT 0.000 2.032 1 Destination Clock Path { "path_begin": { "type":"pin", "log_name":"OSCA001.OSCA_inst/HFCLKOUT", "phy_name":"OSCA001.OSCA_inst/HFCLKOUT" }, "path_end": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_14_123/CLK", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_1.Ix4LFxrk0hFsajtbF9Bl9/CLK" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":0.000, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_143", "phy_name":"clk150" }, "arrive":1.878, "delay":1.878 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":1.878, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ---------------- ------ --------------------- ------ CONSTRAINT 0.000 0.000 1 OSCA001.OSCA_inst/HFCLKOUT OSC_CORE_OSC_CORE_R1C137 CLOCK LATENCY 0.000 0.000 338 top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_143 NET DELAY 1.878 1.878 338 {top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_14_123/CLK top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_14_124/CLK} CLOCK PIN 0.000 1.878 1 Uncertainty 0.000 1.878 Common Path Skew -0.110 1.768 Hold time 0.094 1.862 ---------------------------------------- ------------------------ ---------------- ------ --------------------- ------ Required Time -1.862 Arrival Time 2.032 ---------------------------------------- ------------------------ ---------------- ------ --------------------- ------ Path Slack (Passed) 0.170 ++++ Path 6 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Path Begin : top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_13_141/Q (SLICE_R35C64C) Path End : top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_13_125/DF (SLICE_R35C64D) Source Clock : clk150 (R) Destination Clock: clk150 (R) Logic Level : 1 Delay Ratio : 32.5% (route), 67.5% (logic) Clock Skew : 0.111 ns Hold Constraint : 0.000 ns Common Path Skew : -0.110 ns Path Slack : 0.170 ns (Passed) Source Clock Path { "path_begin": { "type":"pin", "log_name":"OSCA001.OSCA_inst/HFCLKOUT", "phy_name":"OSCA001.OSCA_inst/HFCLKOUT" }, "path_end": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_13_136/CLK", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_2.Ix4LFxrk0hFsajtbF9Bl7/CLK" }, "path_sections":[ { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_143", "phy_name":"clk150" }, "arrive":1.767, "delay":1.767 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":1.767, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ---------------- ------ --------------------- ------ OSCA001.OSCA_inst/HFCLKOUT OSC_CORE_OSC_CORE_R1C137 CLOCK LATENCY 0.000 0.000 338 top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_143 NET DELAY 1.767 1.767 338 {top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_13_136/CLK top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_13_141/CLK} CLOCK PIN 0.000 1.767 1 Data Path { "path_begin": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_13_141/Q", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_2.Ix4LFxrk0hFsajtbF9Bl7/Q1" }, "path_end": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_13_125/DF", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_2.IeJDtew0zBltkd7wB017/M0" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_13_141/CLK", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_2.Ix4LFxrk0hFsajtbF9Bl7/CLK" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_13_141/Q", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_2.Ix4LFxrk0hFsajtbF9Bl7/Q1" }, "arrive":1.946, "delay":0.179 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_2/secured_signal_13_141", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_2.NoxeB5huJp20Cq" }, "arrive":2.032, "delay":0.086 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.032, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ---------------- ------ --------------------- ------ top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_13_141/CLK->top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_13_141/Q SLICE_R35C64C REG_DEL 0.179 1.946 5 top_reveal_coretop_instance/core0/trig_u/tu_2/secured_signal_13_141 NET DELAY 0.086 2.032 5 top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_13_125/DF ENDPOINT 0.000 2.032 1 Destination Clock Path { "path_begin": { "type":"pin", "log_name":"OSCA001.OSCA_inst/HFCLKOUT", "phy_name":"OSCA001.OSCA_inst/HFCLKOUT" }, "path_end": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_13_125/CLK", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_2.IeJDtew0zBltkd7wB017/CLK" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":0.000, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_143", "phy_name":"clk150" }, "arrive":1.878, "delay":1.878 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":1.878, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ---------------- ------ --------------------- ------ CONSTRAINT 0.000 0.000 1 OSCA001.OSCA_inst/HFCLKOUT OSC_CORE_OSC_CORE_R1C137 CLOCK LATENCY 0.000 0.000 338 top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_143 NET DELAY 1.878 1.878 338 {top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_13_125/CLK top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_13_126/CLK} CLOCK PIN 0.000 1.878 1 Uncertainty 0.000 1.878 Common Path Skew -0.110 1.768 Hold time 0.094 1.862 ---------------------------------------- ------------------------ ---------------- ------ --------------------- ------ Required Time -1.862 Arrival Time 2.032 ---------------------------------------- ------------------------ ---------------- ------ --------------------- ------ Path Slack (Passed) 0.170 ++++ Path 7 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Path Begin : top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_12_145/Q (SLICE_R35C59C) Path End : top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_12_129/DF (SLICE_R35C59B) Source Clock : clk150 (R) Destination Clock: clk150 (R) Logic Level : 1 Delay Ratio : 36.2% (route), 63.8% (logic) Clock Skew : 0.111 ns Hold Constraint : 0.000 ns Common Path Skew : -0.108 ns Path Slack : 0.171 ns (Passed) Source Clock Path { "path_begin": { "type":"pin", "log_name":"OSCA001.OSCA_inst/HFCLKOUT", "phy_name":"OSCA001.OSCA_inst/HFCLKOUT" }, "path_end": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_12_145/CLK", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.Ix4LFxrk0hFsajtbF9BlD/CLK" }, "path_sections":[ { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_143", "phy_name":"clk150" }, "arrive":1.767, "delay":1.767 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":1.767, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ---------------- ------ --------------------- ------ OSCA001.OSCA_inst/HFCLKOUT OSC_CORE_OSC_CORE_R1C137 CLOCK LATENCY 0.000 0.000 338 top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_143 NET DELAY 1.767 1.767 338 top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_12_145/CLK CLOCK PIN 0.000 1.767 1 Data Path { "path_begin": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_12_145/Q", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.Ix4LFxrk0hFsajtbF9BlD/Q0" }, "path_end": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_12_129/DF", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.IeJDtew0zBltkd7wB019/M0" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_12_145/CLK", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.Ix4LFxrk0hFsajtbF9BlD/CLK" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_12_145/Q", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.Ix4LFxrk0hFsajtbF9BlD/Q0" }, "arrive":1.940, "delay":0.173 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_signal_12_145", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.NoxeB5huJp20Cu" }, "arrive":2.038, "delay":0.098 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.038, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ---------------- ------ --------------------- ------ top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_12_145/CLK->top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_12_145/Q SLICE_R35C59C REG_DEL 0.173 1.940 5 top_reveal_coretop_instance/core0/trig_u/tu_3/secured_signal_12_145 NET DELAY 0.098 2.038 5 top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_12_129/DF ENDPOINT 0.000 2.038 1 Destination Clock Path { "path_begin": { "type":"pin", "log_name":"OSCA001.OSCA_inst/HFCLKOUT", "phy_name":"OSCA001.OSCA_inst/HFCLKOUT" }, "path_end": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_12_129/CLK", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.IeJDtew0zBltkd7wB019/CLK" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":0.000, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_143", "phy_name":"clk150" }, "arrive":1.878, "delay":1.878 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":1.878, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ---------------- ------ --------------------- ------ CONSTRAINT 0.000 0.000 1 OSCA001.OSCA_inst/HFCLKOUT OSC_CORE_OSC_CORE_R1C137 CLOCK LATENCY 0.000 0.000 338 top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_143 NET DELAY 1.878 1.878 338 {top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_12_129/CLK top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_12_130/CLK} CLOCK PIN 0.000 1.878 1 Uncertainty 0.000 1.878 Common Path Skew -0.108 1.770 Hold time 0.097 1.867 ---------------------------------------- ------------------------ ---------------- ------ --------------------- ------ Required Time -1.867 Arrival Time 2.038 ---------------------------------------- ------------------------ ---------------- ------ --------------------- ------ Path Slack (Passed) 0.171 ++++ Path 8 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Path Begin : top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_12_136/Q (SLICE_R39C60B) Path End : top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_12_120/DF (SLICE_R39C60D) Source Clock : clk150 (R) Destination Clock: clk150 (R) Logic Level : 1 Delay Ratio : 36.2% (route), 63.8% (logic) Clock Skew : 0.111 ns Hold Constraint : 0.000 ns Common Path Skew : -0.108 ns Path Slack : 0.171 ns (Passed) Source Clock Path { "path_begin": { "type":"pin", "log_name":"OSCA001.OSCA_inst/HFCLKOUT", "phy_name":"OSCA001.OSCA_inst/HFCLKOUT" }, "path_end": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_12_136/CLK", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.IeJDtew0zBltkd7wB017/CLK" }, "path_sections":[ { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_143", "phy_name":"clk150" }, "arrive":1.767, "delay":1.767 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":1.767, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ---------------- ------ --------------------- ------ OSCA001.OSCA_inst/HFCLKOUT OSC_CORE_OSC_CORE_R1C137 CLOCK LATENCY 0.000 0.000 338 top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_143 NET DELAY 1.767 1.767 338 {top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_12_136/CLK top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_12_141/CLK} CLOCK PIN 0.000 1.767 1 Data Path { "path_begin": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_12_136/Q", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.IeJDtew0zBltkd7wB017/Q0" }, "path_end": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_12_120/DF", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.Ic4fpnm1ElzI6bcfzK4C4rc/M1" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_12_136/CLK", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.IeJDtew0zBltkd7wB017/CLK" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_12_136/Q", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.IeJDtew0zBltkd7wB017/Q0" }, "arrive":1.940, "delay":0.173 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_signal_12_136", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.Nc8pHcEsIxIrf" }, "arrive":2.038, "delay":0.098 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.038, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ---------------- ------ --------------------- ------ top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_12_136/CLK->top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_12_136/Q SLICE_R39C60B REG_DEL 0.173 1.940 5 top_reveal_coretop_instance/core0/trig_u/tu_3/secured_signal_12_136 NET DELAY 0.098 2.038 5 top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_12_120/DF ENDPOINT 0.000 2.038 1 Destination Clock Path { "path_begin": { "type":"pin", "log_name":"OSCA001.OSCA_inst/HFCLKOUT", "phy_name":"OSCA001.OSCA_inst/HFCLKOUT" }, "path_end": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_12_119/CLK", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.Ic4fpnm1ElzI6bcfzK4C4rc/CLK" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":0.000, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_143", "phy_name":"clk150" }, "arrive":1.878, "delay":1.878 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":1.878, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ---------------- ------ --------------------- ------ CONSTRAINT 0.000 0.000 1 OSCA001.OSCA_inst/HFCLKOUT OSC_CORE_OSC_CORE_R1C137 CLOCK LATENCY 0.000 0.000 338 top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_143 NET DELAY 1.878 1.878 338 {top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_12_119/CLK top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_12_120/CLK} CLOCK PIN 0.000 1.878 1 Uncertainty 0.000 1.878 Common Path Skew -0.108 1.770 Hold time 0.097 1.867 ---------------------------------------- ------------------------ ---------------- ------ --------------------- ------ Required Time -1.867 Arrival Time 2.038 ---------------------------------------- ------------------------ ---------------- ------ --------------------- ------ Path Slack (Passed) 0.171 ++++ Path 9 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Path Begin : top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_11_144/Q (SLICE_R35C67B) Path End : top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_11_128/DF (SLICE_R33C67C) Source Clock : clk150 (R) Destination Clock: clk150 (R) Logic Level : 1 Delay Ratio : 38.4% (route), 61.6% (logic) Clock Skew : 0.111 ns Hold Constraint : 0.000 ns Common Path Skew : -0.098 ns Path Slack : 0.171 ns (Passed) Source Clock Path { "path_begin": { "type":"pin", "log_name":"OSCA001.OSCA_inst/HFCLKOUT", "phy_name":"OSCA001.OSCA_inst/HFCLKOUT" }, "path_end": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_11_144/CLK", "phy_name":"top_reveal_coretop_instance.core0.trig_u.te_2.Igkires9KlvGay1twy0arLFqw5G7rDhHILCwqk2F/CLK" }, "path_sections":[ { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_143", "phy_name":"clk150" }, "arrive":1.767, "delay":1.767 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":1.767, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ---------------- ------ --------------------- ------ OSCA001.OSCA_inst/HFCLKOUT OSC_CORE_OSC_CORE_R1C137 CLOCK LATENCY 0.000 0.000 338 top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_143 NET DELAY 1.767 1.767 338 {top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_11_144/CLK top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_11_145/CLK} CLOCK PIN 0.000 1.767 1 Data Path { "path_begin": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_11_144/Q", "phy_name":"top_reveal_coretop_instance.core0.trig_u.te_2.Igkires9KlvGay1twy0arLFqw5G7rDhHILCwqk2F/Q0" }, "path_end": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_11_128/DF", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_4.Ix4LFxrk0hFsajtbF9Bl8/M1" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_11_144/CLK", "phy_name":"top_reveal_coretop_instance.core0.trig_u.te_2.Igkires9KlvGay1twy0arLFqw5G7rDhHILCwqk2F/CLK" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_11_144/Q", "phy_name":"top_reveal_coretop_instance.core0.trig_u.te_2.Igkires9KlvGay1twy0arLFqw5G7rDhHILCwqk2F/Q0" }, "arrive":1.940, "delay":0.173 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_4/secured_signal_11_144", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_4.NoxeB5huJp20Ct" }, "arrive":2.048, "delay":0.108 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.048, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ---------------- ------ --------------------- ------ top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_11_144/CLK->top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_11_144/Q SLICE_R35C67B REG_DEL 0.173 1.940 5 top_reveal_coretop_instance/core0/trig_u/tu_4/secured_signal_11_144 NET DELAY 0.108 2.048 5 top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_11_128/DF ENDPOINT 0.000 2.048 1 Destination Clock Path { "path_begin": { "type":"pin", "log_name":"OSCA001.OSCA_inst/HFCLKOUT", "phy_name":"OSCA001.OSCA_inst/HFCLKOUT" }, "path_end": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_11_127/CLK", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_4.Ix4LFxrk0hFsajtbF9Bl8/CLK" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":0.000, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_143", "phy_name":"clk150" }, "arrive":1.878, "delay":1.878 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":1.878, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ---------------- ------ --------------------- ------ CONSTRAINT 0.000 0.000 1 OSCA001.OSCA_inst/HFCLKOUT OSC_CORE_OSC_CORE_R1C137 CLOCK LATENCY 0.000 0.000 338 top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_143 NET DELAY 1.878 1.878 338 {top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_11_127/CLK top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_11_128/CLK} CLOCK PIN 0.000 1.878 1 Uncertainty 0.000 1.878 Common Path Skew -0.098 1.780 Hold time 0.097 1.877 ---------------------------------------- ------------------------ ---------------- ------ --------------------- ------ Required Time -1.877 Arrival Time 2.048 ---------------------------------------- ------------------------ ---------------- ------ --------------------- ------ Path Slack (Passed) 0.171 ++++ Path 10 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Path Begin : top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_12_131/Q (SLICE_R38C60A) Path End : top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_12_115/DF (SLICE_R38C60D) Source Clock : clk150 (R) Destination Clock: clk150 (R) Logic Level : 1 Delay Ratio : 36.2% (route), 63.8% (logic) Clock Skew : 0.111 ns Hold Constraint : 0.000 ns Common Path Skew : -0.108 ns Path Slack : 0.171 ns (Passed) Source Clock Path { "path_begin": { "type":"pin", "log_name":"OSCA001.OSCA_inst/HFCLKOUT", "phy_name":"OSCA001.OSCA_inst/HFCLKOUT" }, "path_end": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_12_131/CLK", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.If4H9p51nfHbduhCaHltd28ehgyzbd[0]/CLK" }, "path_sections":[ { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_143", "phy_name":"clk150" }, "arrive":1.767, "delay":1.767 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":1.767, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ---------------- ------ --------------------- ------ OSCA001.OSCA_inst/HFCLKOUT OSC_CORE_OSC_CORE_R1C137 CLOCK LATENCY 0.000 0.000 338 top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_143 NET DELAY 1.767 1.767 338 {top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_12_131/CLK top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_12_139/CLK} CLOCK PIN 0.000 1.767 1 Data Path { "path_begin": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_12_131/Q", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.If4H9p51nfHbduhCaHltd28ehgyzbd[0]/Q0" }, "path_end": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_12_115/DF", "phy_name":"top_reveal_coretop_instance.core0.trig_u.te_0.IcJqK4vCkkI3A42Eer0fAh4HlL8ecj7IJ.IhL8LyjD9lu5omb2p/M0" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_12_131/CLK", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.If4H9p51nfHbduhCaHltd28ehgyzbd[0]/CLK" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_12_131/Q", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.If4H9p51nfHbduhCaHltd28ehgyzbd[0]/Q0" }, "arrive":1.940, "delay":0.173 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_signal_12_131", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.Nc8pHcEsIxIra" }, "arrive":2.038, "delay":0.098 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.038, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ---------------- ------ --------------------- ------ top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_12_131/CLK->top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_12_131/Q SLICE_R38C60A REG_DEL 0.173 1.940 5 top_reveal_coretop_instance/core0/trig_u/tu_3/secured_signal_12_131 NET DELAY 0.098 2.038 5 top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_12_115/DF ENDPOINT 0.000 2.038 1 Destination Clock Path { "path_begin": { "type":"pin", "log_name":"OSCA001.OSCA_inst/HFCLKOUT", "phy_name":"OSCA001.OSCA_inst/HFCLKOUT" }, "path_end": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_12_115/CLK", "phy_name":"top_reveal_coretop_instance.core0.trig_u.te_0.IcJqK4vCkkI3A42Eer0fAh4HlL8ecj7IJ.IhL8LyjD9lu5omb2p/CLK" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":0.000, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_143", "phy_name":"clk150" }, "arrive":1.878, "delay":1.878 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":1.878, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ---------------- ------ --------------------- ------ CONSTRAINT 0.000 0.000 1 OSCA001.OSCA_inst/HFCLKOUT OSC_CORE_OSC_CORE_R1C137 CLOCK LATENCY 0.000 0.000 338 top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_143 NET DELAY 1.878 1.878 338 {top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_12_115/CLK top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_12_116/CLK} CLOCK PIN 0.000 1.878 1 Uncertainty 0.000 1.878 Common Path Skew -0.108 1.770 Hold time 0.097 1.867 ---------------------------------------- ------------------------ ---------------- ------ --------------------- ------ Required Time -1.867 Arrival Time 2.038 ---------------------------------------- ------------------------ ---------------- ------ --------------------- ------ Path Slack (Passed) 0.171 +++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ End of Detailed Report for timing paths +++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ ##########################################################

















































    Contents