Timing Report
Lattice Timing Report - Setup and Hold, Version Radiant Software (64-bit) 2024.1.0.34.2
Thu Sep 5 14:22:18 2024
Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved.
Copyright (c) 1995 AT&T Corp. All rights reserved.
Copyright (c) 1995-2001 Lucent Technologies Inc. All rights reserved.
Copyright (c) 2001 Agere Systems All rights reserved.
Copyright (c) 2002-2024 Lattice Semiconductor Corporation, All rights reserved.
Command line: timing -sethld -v 10 -u 10 -endpoints 10 -nperend 1 -sp 9_High-Performance_1.0V -hsp m -pwrprd -html -rpt LAB04_imp1.twr LAB04_imp1.udb -gui -msgset C:/Users/qnoor/Downloads/LAB02_Prop_Circuit_ARST/LAB02_Prop_Circuit_ARST/promote.xml
-------------------------------------------
Design: Top
Family: LFCPNX
Device: LFCPNX-100
Package: LFG672
Performance: 9_High-Performance_1.0V
Package Status: Final Version 16
Performance Hardware Data Status : Final Version 3.9
-------------------------------------------
=====================================================================
Table of Contents
=====================================================================
1 Timing Overview
1.1 SDC Constraints
1.2 Constraint Coverage
1.3 Overall Summary
1.4 Unconstrained Report
1.5 Combinational Loop
2 Setup at Speed Grade 9_High-Performance_1.0V Corner at 100 Degrees
2.1 Clock Summary
2.2 Endpoint slacks
2.3 Detailed Report
3 Setup at Speed Grade 9_High-Performance_1.0V Corner at -40 Degrees
3.1 Clock Summary
3.2 Endpoint slacks
3.3 Detailed Report
4 Hold at Speed Grade m Corner at -40 Degrees
4.1 Endpoint slacks
4.2 Detailed Report
=====================================================================
End of Table of Contents
=====================================================================
1 Timing Overview
1.1 SDC Constraints
create_clock -name {CLK} -period 6.66667 [get_pins {OSCA001.OSCA_inst/HFCLKOUT }]
create_clock -name {rvltck} -period 33.33 [get_ports TCK]
set_false_path -to [get_clocks rvltck]
set_false_path -from [get_clocks rvltck]
create_generated_clock -name {rvjtck} -source [get_ports TCK] [get_nets jtck]
set_false_path -to [get_clocks rvjtck]
set_false_path -from [get_clocks rvjtck]
set_clock_groups -group [get_clocks CLK] -group [get_clocks rvltck] -asynchronous
1.2 Constraint Coverage
Constraint Coverage: 99.3332%
1.3 Overall Summary
Setup at Speed Grade 9_High-Performance_1.0V Corner at 100 Degrees Timing Errors: 0 endpoints; Total Negative Slack: 0.000 ns
Setup at Speed Grade 9_High-Performance_1.0V Corner at -40 Degrees Timing Errors: 0 endpoints; Total Negative Slack: 0.000 ns
Hold at Speed Grade m Corner at -40 Degrees Timing Errors: 0 endpoints; Total Negative Slack: 0.000 ns
1.4 Unconstrained Report
1.4.1 Unconstrained Start/End Points
Clocked but unconstrained timing start points
-------------------------------------------------------------------
Listing 4 Start Points | Type
-------------------------------------------------------------------
LED4_0io.PIC_inst/Q | No required time
LED3_0io.PIC_inst/Q | No required time
LED2_0io.PIC_inst/Q | No required time
LED1_0io.PIC_inst/Q | No required time
-------------------------------------------------------------------
|
Number of unconstrained timing start po |
ints | 4
|
-------------------------------------------------------------------
Clocked but unconstrained timing end points
-------------------------------------------------------------------
Listing 10 End Points | Type
-------------------------------------------------------------------
CNT01/Couti[15].ff_inst/LSR | No arrival time
{CNT01/Couti[13].ff_inst/LSR CNT01/Couti[14].ff_inst/LSR}
| No arrival time
{CNT01/Couti[11].ff_inst/LSR CNT01/Couti[12].ff_inst/LSR}
| No arrival time
{CNT01/Couti[9].ff_inst/LSR CNT01/Couti[10].ff_inst/LSR}
| No arrival time
{CNT01/Couti[7].ff_inst/LSR CNT01/Couti[8].ff_inst/LSR}
| No arrival time
{CNT01/Couti[5].ff_inst/LSR CNT01/Couti[6].ff_inst/LSR}
| No arrival time
{CNT01/Couti[3].ff_inst/LSR CNT01/Couti[4].ff_inst/LSR}
| No arrival time
{CNT01/Couti[1].ff_inst/LSR CNT01/Couti[2].ff_inst/LSR}
| No arrival time
CNT01/Couti[0].ff_inst/LSR | No arrival time
CNT02/Couti[15].ff_inst/LSR | No arrival time
-------------------------------------------------------------------
|
Number of unconstrained timing end poin |
ts | 39
|
-------------------------------------------------------------------
1.4.2 Start/End Points Without Timing Constraints
I/O ports without constraint
----------------------------
Possible constraints to use on I/O ports are:
set_input_delay,
set_output_delay,
set_max_delay,
create_clock,
create_generated_clock,
...
-------------------------------------------------------------------
Listing 5 Start or End Points | Type
-------------------------------------------------------------------
RST_N | input
LED4 | output
LED3 | output
LED2 | output
LED1 | output
-------------------------------------------------------------------
|
Number of I/O ports without constraint | 5
|
-------------------------------------------------------------------
Nets without clock definition
Define a clock on a top level port or a generated clock on a clock divider pin associated with this net(s).
--------------------------------------------------
There is no instance satisfying reporting criteria
1.5 Combinational Loop
None
2 Setup at Speed Grade 9_High-Performance_1.0V Corner at 100 Degrees
2.1 Clock Summary
2.1.1 Clock "CLK"
create_clock -name {CLK} -period 6.66667 [get_pins {OSCA001.OSCA_inst/HFCLKOUT }]
Single Clock Domain
-------------------------------------------------------------------------------------------------------
Clock CLK | | Period | Frequency
-------------------------------------------------------------------------------------------------------
From CLK | Target | 6.667 ns | 150.000 MHz
| Actual (all paths) | 5.811 ns | 172.087 MHz
OSCA001.OSCA_inst/HFCLKOUT (MPW) | (50% duty cycle) | 4.358 ns | 229.463 MHz
-------------------------------------------------------------------------------------------------------
Clock Domain Crossing
------------------------------------------------------------------------------------------------------
Clock CLK | Worst Time Between Edges | Comment
------------------------------------------------------------------------------------------------------
From rvltck | ---- | False path
From rvjtck | ---- | False path
------------------------------------------------------------------------------------------------------
2.1.2 Clock "rvltck"
create_clock -name {rvltck} -period 33.33 [get_ports TCK]
Single Clock Domain
-------------------------------------------------------------------------------------------------------
Clock rvltck | | Period | Frequency
-------------------------------------------------------------------------------------------------------
From rvltck | Target | 33.330 ns | 30.003 MHz
| Actual (all paths) | 5.000 ns | 200.000 MHz
jtaghub_inst/IB_inst2.bb_inst/B (MPW) | (50% duty cycle) | 5.000 ns | 200.000 MHz
-------------------------------------------------------------------------------------------------------
Clock Domain Crossing
------------------------------------------------------------------------------------------------------
Clock rvltck | Worst Time Between Edges | Comment
------------------------------------------------------------------------------------------------------
From CLK | ---- | False path
From rvjtck | ---- | False path
------------------------------------------------------------------------------------------------------
2.1.3 Clock "rvjtck"
create_generated_clock -name {rvjtck} -source [get_ports TCK] [get_nets jtck]
Single Clock Domain
-------------------------------------------------------------------------------------------------------
Clock rvjtck | | Period | Frequency
-------------------------------------------------------------------------------------------------------
From rvjtck | Target | 33.330 ns | 30.003 MHz
| Actual (all paths) | 2.018 ns | 495.540 MHz
{top_reveal_coretop_instance/core0/tm_u/secured_instance_1_111/secured_instance_0_69/CLK top_reveal_coretop_instance/core0/tm_u/secured_instance_1_111/secured_instance_0_67/CLK} (MPW)
| (50% duty cycle) | 2.018 ns | 495.540 MHz
-------------------------------------------------------------------------------------------------------
Clock Domain Crossing
------------------------------------------------------------------------------------------------------
Clock rvjtck | Worst Time Between Edges | Comment
------------------------------------------------------------------------------------------------------
From CLK | ---- | False path
From rvltck | ---- | False path
------------------------------------------------------------------------------------------------------
2.2 Endpoint slacks
-------------------------------------------------------
Listing 10 End Points | Slack
-------------------------------------------------------
LED4_0io.PIC_inst/D | 0.856 ns
LED3_0io.PIC_inst/D | 0.911 ns
LED1_0io.PIC_inst/D | 0.972 ns
CE001/DCC01/CE | 1.747 ns
top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_8_95/DF
| 1.754 ns
LED2_0io.PIC_inst/D | 1.757 ns
top_reveal_coretop_instance/core0/trig_u/te_1/secured_instance_5_251/DF
| 1.870 ns
top_reveal_coretop_instance/core0/trig_u/te_1/secured_instance_5_269/DF
| 1.901 ns
top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_9_95/DF
| 1.944 ns
{top_reveal_coretop_instance/core0/trig_u/te_1/secured_instance_5_250/CE top_reveal_coretop_instance/core0/trig_u/te_1/secured_instance_5_259/CE}
| 1.977 ns
-------------------------------------------------------
|
Setup # of endpoints with negative slack:| 0
|
-------------------------------------------------------
2.3 Detailed Report
XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX
Detail report of critical paths
XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX
+++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
Detailed Report for timing paths
+++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
++++Path 1 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
Path Begin : CNT04/Couti[14].ff_inst/Q (SLICE_R44C149D)
Path End : LED4_0io.PIC_inst/D (SIOLOGIC_CORE_IOL_R31B)
Source Clock : CLK (R)
Destination Clock: CLK (R)
Logic Level : 2
Delay Ratio : 90.6% (route), 9.4% (logic)
Clock Skew : -0.084 ns
Setup Constraint : 6.666 ns
Common Path Skew : 0.028 ns
Path Slack : 0.855 ns (Passed)
Source Clock Path
{
"path_begin":
{
"type":"pin",
"log_name":"OSCA001.OSCA_inst/HFCLKOUT",
"phy_name":"OSCA001.OSCA_inst/HFCLKOUT"
},
"path_end":
{
"type":"pin",
"log_name":"CNT04/Couti[13].ff_inst/CLK",
"phy_name":"CNT04.Couti_cry_0[13]/CLK"
},
"path_sections":[
{
"type":"net_delay",
"net":
{
"log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_111/secured_signal_0_140",
"phy_name":"CLK"
},
"arrive":0.345,
"delay":0.345
},
{
"type":"site_delay",
"pin0":
{
"log_name":"CE001/DCC01/CLKI",
"phy_name":"CE001.DCC01/CLKI"
},
"pin1":
{
"log_name":"CE001/DCC01/CLKO",
"phy_name":"CE001.DCC01/CLKO"
},
"arrive":0.345,
"delay":0.000
},
{
"type":"net_delay",
"net":
{
"log_name":"CE001/CLK1",
"phy_name":"CLK1"
},
"arrive":2.342,
"delay":1.997
},
{
"type":"site_delay",
"pin0":
{
"log_name":"",
"phy_name":""
},
"pin1":
{
"log_name":"",
"phy_name":""
},
"arrive":2.342,
"delay":0.000
}
]
}
Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout
---------------------------------------- ------------------------ ---------------- -------- --------------------- ------
OSCA001.OSCA_inst/HFCLKOUT OSC_CORE_OSC_CORE_R1C137 CLOCK LATENCY 0.000 0.000 342
top_reveal_coretop_instance/core0/tm_u/secured_instance_1_111/secured_signal_0_140
NET DELAY 0.345 0.345 342
CE001/DCC01/CLKI->CE001/DCC01/CLKO DCC_DCC_R1 DCC_DEL 0.000 0.345 40
CE001/CLK1 NET DELAY 1.997 2.342 40
{CNT04/Couti[13].ff_inst/CLK CNT04/Couti[14].ff_inst/CLK}
CLOCK PIN 0.000 2.342 1
Data Path
{
"path_begin":
{
"type":"pin",
"log_name":"CNT04/Couti[14].ff_inst/Q",
"phy_name":"CNT04.Couti_cry_0[13]/Q1"
},
"path_end":
{
"type":"pin",
"log_name":"LED4_0io.PIC_inst/D",
"phy_name":"LED4_pad.bb_inst_IOL/TXDATA0"
},
"path_sections":[
{
"type":"site_delay",
"pin0":
{
"log_name":"CNT04/Couti[14].ff_inst/CLK",
"phy_name":"CNT04.Couti_cry_0[13]/CLK"
},
"pin1":
{
"log_name":"CNT04/Couti[14].ff_inst/Q",
"phy_name":"CNT04.Couti_cry_0[13]/Q1"
},
"arrive":2.651,
"delay":0.309
},
{
"type":"net_delay",
"net":
{
"log_name":"top_reveal_coretop_instance/core0/tm_u/secured_signal_1_75",
"phy_name":"CNT4[14]"
},
"arrive":4.928,
"delay":2.277
},
{
"type":"site_delay",
"pin0":
{
"log_name":"LED4_1_cZ/B",
"phy_name":"LED4_1_cZ/B0"
},
"pin1":
{
"log_name":"LED4_1_cZ/Z",
"phy_name":"LED4_1_cZ/F0"
},
"arrive":5.134,
"delay":0.206
},
{
"type":"net_delay",
"net":
{
"log_name":"LED4_1",
"phy_name":"LED4_1"
},
"arrive":7.807,
"delay":2.673
},
{
"type":"site_delay",
"pin0":
{
"log_name":"",
"phy_name":""
},
"pin1":
{
"log_name":"",
"phy_name":""
},
"arrive":7.807,
"delay":0.000
}
]
}
Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout
---------------------------------------- ------------------------ ---------------- -------- --------------------- ------
CNT04/Couti[14].ff_inst/CLK->CNT04/Couti[14].ff_inst/Q
SLICE_R44C149D REG_DEL 0.309 2.651 4
top_reveal_coretop_instance/core0/tm_u/secured_signal_1_75
NET DELAY 2.277 4.928 4
LED4_1_cZ/B->LED4_1_cZ/Z SLICE_R43C73D CTOF_DEL 0.206 5.134 1
LED4_1 NET DELAY 2.673 7.807 1
LED4_0io.PIC_inst/D ENDPOINT 0.000 7.807 1
Destination Clock Path
{
"path_begin":
{
"type":"pin",
"log_name":"OSCA001.OSCA_inst/HFCLKOUT",
"phy_name":"OSCA001.OSCA_inst/HFCLKOUT"
},
"path_end":
{
"type":"pin",
"log_name":"LED4_0io.PIC_inst/CLK",
"phy_name":"LED4_pad.bb_inst_IOL/SCLKOUT"
},
"path_sections":[
{
"type":"site_delay",
"pin0":
{
"log_name":"",
"phy_name":""
},
"pin1":
{
"log_name":"",
"phy_name":""
},
"arrive":6.666,
"delay":0.000
},
{
"type":"net_delay",
"net":
{
"log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_111/secured_signal_0_140",
"phy_name":"CLK"
},
"arrive":6.983,
"delay":0.317
},
{
"type":"site_delay",
"pin0":
{
"log_name":"CE001/DCC01/CLKI",
"phy_name":"CE001.DCC01/CLKI"
},
"pin1":
{
"log_name":"CE001/DCC01/CLKO",
"phy_name":"CE001.DCC01/CLKO"
},
"arrive":6.983,
"delay":0.000
},
{
"type":"net_delay",
"net":
{
"log_name":"CE001/CLK1",
"phy_name":"CLK1"
},
"arrive":8.924,
"delay":1.941
},
{
"type":"site_delay",
"pin0":
{
"log_name":"",
"phy_name":""
},
"pin1":
{
"log_name":"",
"phy_name":""
},
"arrive":8.924,
"delay":0.000
}
]
}
Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout
---------------------------------------- ------------------------ ---------------- -------- --------------------- ------
CONSTRAINT 0.000 6.666 1
OSCA001.OSCA_inst/HFCLKOUT OSC_CORE_OSC_CORE_R1C137 CLOCK LATENCY 0.000 6.666 342
top_reveal_coretop_instance/core0/tm_u/secured_instance_1_111/secured_signal_0_140
NET DELAY 0.317 6.983 342
CE001/DCC01/CLKI->CE001/DCC01/CLKO DCC_DCC_R1 DCC_DEL 0.000 6.983 40
CE001/CLK1 NET DELAY 1.941 8.924 40
LED4_0io.PIC_inst/CLK CLOCK PIN 0.000 8.924 1
Uncertainty -(0.000) 8.924
Common Path Skew 0.028 8.952
Setup time -(0.290) 8.662
---------------------------------------- ------------------------ ---------------- -------- --------------------- ------
Required Time 8.662
Arrival Time -(7.806)
---------------------------------------- ------------------------ ---------------- -------- --------------------- ------
Path Slack (Passed) 0.855
++++ Path 2 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
Path Begin : CNT03/Couti[13].ff_inst/Q (SLICE_R60C10D)
Path End : LED3_0io.PIC_inst/D (SIOLOGIC_CORE_IOL_R31A)
Source Clock : CLK (R)
Destination Clock: CLK (R)
Logic Level : 2
Delay Ratio : 90.8% (route), 9.2% (logic)
Clock Skew : -0.084 ns
Setup Constraint : 6.666 ns
Common Path Skew : 0.028 ns
Path Slack : 0.910 ns (Passed)
Source Clock Path
{
"path_begin":
{
"type":"pin",
"log_name":"OSCA001.OSCA_inst/HFCLKOUT",
"phy_name":"OSCA001.OSCA_inst/HFCLKOUT"
},
"path_end":
{
"type":"pin",
"log_name":"CNT03/Couti[13].ff_inst/CLK",
"phy_name":"CNT03.Couti_cry_0[13]/CLK"
},
"path_sections":[
{
"type":"net_delay",
"net":
{
"log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_111/secured_signal_0_140",
"phy_name":"CLK"
},
"arrive":0.345,
"delay":0.345
},
{
"type":"site_delay",
"pin0":
{
"log_name":"CE001/DCC01/CLKI",
"phy_name":"CE001.DCC01/CLKI"
},
"pin1":
{
"log_name":"CE001/DCC01/CLKO",
"phy_name":"CE001.DCC01/CLKO"
},
"arrive":0.345,
"delay":0.000
},
{
"type":"net_delay",
"net":
{
"log_name":"CE001/CLK1",
"phy_name":"CLK1"
},
"arrive":2.342,
"delay":1.997
},
{
"type":"site_delay",
"pin0":
{
"log_name":"",
"phy_name":""
},
"pin1":
{
"log_name":"",
"phy_name":""
},
"arrive":2.342,
"delay":0.000
}
]
}
Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout
---------------------------------------- ------------------------ ---------------- -------- --------------------- ------
OSCA001.OSCA_inst/HFCLKOUT OSC_CORE_OSC_CORE_R1C137 CLOCK LATENCY 0.000 0.000 342
top_reveal_coretop_instance/core0/tm_u/secured_instance_1_111/secured_signal_0_140
NET DELAY 0.345 0.345 342
CE001/DCC01/CLKI->CE001/DCC01/CLKO DCC_DCC_R1 DCC_DEL 0.000 0.345 40
CE001/CLK1 NET DELAY 1.997 2.342 40
{CNT03/Couti[13].ff_inst/CLK CNT03/Couti[14].ff_inst/CLK}
CLOCK PIN 0.000 2.342 1
Data Path
{
"path_begin":
{
"type":"pin",
"log_name":"CNT03/Couti[13].ff_inst/Q",
"phy_name":"CNT03.Couti_cry_0[13]/Q0"
},
"path_end":
{
"type":"pin",
"log_name":"LED3_0io.PIC_inst/D",
"phy_name":"LED3_pad.bb_inst_IOL/TXDATA0"
},
"path_sections":[
{
"type":"site_delay",
"pin0":
{
"log_name":"CNT03/Couti[13].ff_inst/CLK",
"phy_name":"CNT03.Couti_cry_0[13]/CLK"
},
"pin1":
{
"log_name":"CNT03/Couti[13].ff_inst/Q",
"phy_name":"CNT03.Couti_cry_0[13]/Q0"
},
"arrive":2.636,
"delay":0.294
},
{
"type":"net_delay",
"net":
{
"log_name":"top_reveal_coretop_instance/core0/tm_u/secured_signal_1_60",
"phy_name":"CNT3[13]"
},
"arrive":4.487,
"delay":1.851
},
{
"type":"site_delay",
"pin0":
{
"log_name":"LED3_1_cZ/B",
"phy_name":"LED2_1_cZ/B1"
},
"pin1":
{
"log_name":"LED3_1_cZ/Z",
"phy_name":"LED2_1_cZ/F1"
},
"arrive":4.693,
"delay":0.206
},
{
"type":"net_delay",
"net":
{
"log_name":"LED3_1",
"phy_name":"LED3_1"
},
"arrive":7.752,
"delay":3.059
},
{
"type":"site_delay",
"pin0":
{
"log_name":"",
"phy_name":""
},
"pin1":
{
"log_name":"",
"phy_name":""
},
"arrive":7.752,
"delay":0.000
}
]
}
Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout
---------------------------------------- ------------------------ ---------------- -------- --------------------- ------
CNT03/Couti[13].ff_inst/CLK->CNT03/Couti[13].ff_inst/Q
SLICE_R60C10D REG_DEL 0.294 2.636 4
top_reveal_coretop_instance/core0/tm_u/secured_signal_1_60
NET DELAY 1.851 4.487 4
LED3_1_cZ/B->LED3_1_cZ/Z SLICE_R36C45C CTOF_DEL 0.206 4.693 1
LED3_1 NET DELAY 3.059 7.752 1
LED3_0io.PIC_inst/D ENDPOINT 0.000 7.752 1
Destination Clock Path
{
"path_begin":
{
"type":"pin",
"log_name":"OSCA001.OSCA_inst/HFCLKOUT",
"phy_name":"OSCA001.OSCA_inst/HFCLKOUT"
},
"path_end":
{
"type":"pin",
"log_name":"LED3_0io.PIC_inst/CLK",
"phy_name":"LED3_pad.bb_inst_IOL/SCLKOUT"
},
"path_sections":[
{
"type":"site_delay",
"pin0":
{
"log_name":"",
"phy_name":""
},
"pin1":
{
"log_name":"",
"phy_name":""
},
"arrive":6.666,
"delay":0.000
},
{
"type":"net_delay",
"net":
{
"log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_111/secured_signal_0_140",
"phy_name":"CLK"
},
"arrive":6.983,
"delay":0.317
},
{
"type":"site_delay",
"pin0":
{
"log_name":"CE001/DCC01/CLKI",
"phy_name":"CE001.DCC01/CLKI"
},
"pin1":
{
"log_name":"CE001/DCC01/CLKO",
"phy_name":"CE001.DCC01/CLKO"
},
"arrive":6.983,
"delay":0.000
},
{
"type":"net_delay",
"net":
{
"log_name":"CE001/CLK1",
"phy_name":"CLK1"
},
"arrive":8.924,
"delay":1.941
},
{
"type":"site_delay",
"pin0":
{
"log_name":"",
"phy_name":""
},
"pin1":
{
"log_name":"",
"phy_name":""
},
"arrive":8.924,
"delay":0.000
}
]
}
Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout
---------------------------------------- ------------------------ ---------------- -------- --------------------- ------
CONSTRAINT 0.000 6.666 1
OSCA001.OSCA_inst/HFCLKOUT OSC_CORE_OSC_CORE_R1C137 CLOCK LATENCY 0.000 6.666 342
top_reveal_coretop_instance/core0/tm_u/secured_instance_1_111/secured_signal_0_140
NET DELAY 0.317 6.983 342
CE001/DCC01/CLKI->CE001/DCC01/CLKO DCC_DCC_R1 DCC_DEL 0.000 6.983 40
CE001/CLK1 NET DELAY 1.941 8.924 40
LED3_0io.PIC_inst/CLK CLOCK PIN 0.000 8.924 1
Uncertainty -(0.000) 8.924
Common Path Skew 0.028 8.952
Setup time -(0.290) 8.662
---------------------------------------- ------------------------ ---------------- -------- --------------------- ------
Required Time 8.662
Arrival Time -(7.751)
---------------------------------------- ------------------------ ---------------- -------- --------------------- ------
Path Slack (Passed) 0.910
++++ Path 3 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
Path Begin : CNT01/Couti[0].ff_inst/Q (SLICE_R14C12A)
Path End : LED1_0io.PIC_inst/D (SIOLOGIC_CORE_IOL_R28B)
Source Clock : CLK (R)
Destination Clock: CLK (R)
Logic Level : 2
Delay Ratio : 90.4% (route), 9.6% (logic)
Clock Skew : -0.084 ns
Setup Constraint : 6.666 ns
Common Path Skew : 0.028 ns
Path Slack : 0.971 ns (Passed)
Source Clock Path
{
"path_begin":
{
"type":"pin",
"log_name":"OSCA001.OSCA_inst/HFCLKOUT",
"phy_name":"OSCA001.OSCA_inst/HFCLKOUT"
},
"path_end":
{
"type":"pin",
"log_name":"CNT01/Couti[0].ff_inst/CLK",
"phy_name":"CNT01.Couti_cry_0[0]/CLK"
},
"path_sections":[
{
"type":"net_delay",
"net":
{
"log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_111/secured_signal_0_140",
"phy_name":"CLK"
},
"arrive":0.345,
"delay":0.345
},
{
"type":"site_delay",
"pin0":
{
"log_name":"CE001/DCC01/CLKI",
"phy_name":"CE001.DCC01/CLKI"
},
"pin1":
{
"log_name":"CE001/DCC01/CLKO",
"phy_name":"CE001.DCC01/CLKO"
},
"arrive":0.345,
"delay":0.000
},
{
"type":"net_delay",
"net":
{
"log_name":"CE001/CLK1",
"phy_name":"CLK1"
},
"arrive":2.342,
"delay":1.997
},
{
"type":"site_delay",
"pin0":
{
"log_name":"",
"phy_name":""
},
"pin1":
{
"log_name":"",
"phy_name":""
},
"arrive":2.342,
"delay":0.000
}
]
}
Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout
---------------------------------------- ------------------------ ---------------- -------- --------------------- ------
OSCA001.OSCA_inst/HFCLKOUT OSC_CORE_OSC_CORE_R1C137 CLOCK LATENCY 0.000 0.000 342
top_reveal_coretop_instance/core0/tm_u/secured_instance_1_111/secured_signal_0_140
NET DELAY 0.345 0.345 342
CE001/DCC01/CLKI->CE001/DCC01/CLKO DCC_DCC_R1 DCC_DEL 0.000 0.345 40
CE001/CLK1 NET DELAY 1.997 2.342 40
CNT01/Couti[0].ff_inst/CLK CLOCK PIN 0.000 2.342 1
Data Path
{
"path_begin":
{
"type":"pin",
"log_name":"CNT01/Couti[0].ff_inst/Q",
"phy_name":"CNT01.Couti_cry_0[0]/Q1"
},
"path_end":
{
"type":"pin",
"log_name":"LED1_0io.PIC_inst/D",
"phy_name":"LED1_pad.bb_inst_IOL/TXDATA0"
},
"path_sections":[
{
"type":"site_delay",
"pin0":
{
"log_name":"CNT01/Couti[0].ff_inst/CLK",
"phy_name":"CNT01.Couti_cry_0[0]/CLK"
},
"pin1":
{
"log_name":"CNT01/Couti[0].ff_inst/Q",
"phy_name":"CNT01.Couti_cry_0[0]/Q1"
},
"arrive":2.651,
"delay":0.309
},
{
"type":"net_delay",
"net":
{
"log_name":"top_reveal_coretop_instance/core0/tm_u/secured_signal_1_41",
"phy_name":"CNT1[0]"
},
"arrive":4.369,
"delay":1.718
},
{
"type":"site_delay",
"pin0":
{
"log_name":"LED1_1_cZ/A",
"phy_name":"GND_cZ/D1"
},
"pin1":
{
"log_name":"LED1_1_cZ/Z",
"phy_name":"GND_cZ/F1"
},
"arrive":4.575,
"delay":0.206
},
{
"type":"net_delay",
"net":
{
"log_name":"LED1_1",
"phy_name":"LED1_1"
},
"arrive":7.691,
"delay":3.116
},
{
"type":"site_delay",
"pin0":
{
"log_name":"",
"phy_name":""
},
"pin1":
{
"log_name":"",
"phy_name":""
},
"arrive":7.691,
"delay":0.000
}
]
}
Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout
---------------------------------------- ------------------------ ---------------- -------- --------------------- ------
CNT01/Couti[0].ff_inst/CLK->CNT01/Couti[0].ff_inst/Q
SLICE_R14C12A REG_DEL 0.309 2.651 4
top_reveal_coretop_instance/core0/tm_u/secured_signal_1_41
NET DELAY 1.718 4.369 4
LED1_1_cZ/A->LED1_1_cZ/Z SLICE_R36C45D CTOF_DEL 0.206 4.575 1
LED1_1 NET DELAY 3.116 7.691 1
LED1_0io.PIC_inst/D ENDPOINT 0.000 7.691 1
Destination Clock Path
{
"path_begin":
{
"type":"pin",
"log_name":"OSCA001.OSCA_inst/HFCLKOUT",
"phy_name":"OSCA001.OSCA_inst/HFCLKOUT"
},
"path_end":
{
"type":"pin",
"log_name":"LED1_0io.PIC_inst/CLK",
"phy_name":"LED1_pad.bb_inst_IOL/SCLKOUT"
},
"path_sections":[
{
"type":"site_delay",
"pin0":
{
"log_name":"",
"phy_name":""
},
"pin1":
{
"log_name":"",
"phy_name":""
},
"arrive":6.666,
"delay":0.000
},
{
"type":"net_delay",
"net":
{
"log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_111/secured_signal_0_140",
"phy_name":"CLK"
},
"arrive":6.983,
"delay":0.317
},
{
"type":"site_delay",
"pin0":
{
"log_name":"CE001/DCC01/CLKI",
"phy_name":"CE001.DCC01/CLKI"
},
"pin1":
{
"log_name":"CE001/DCC01/CLKO",
"phy_name":"CE001.DCC01/CLKO"
},
"arrive":6.983,
"delay":0.000
},
{
"type":"net_delay",
"net":
{
"log_name":"CE001/CLK1",
"phy_name":"CLK1"
},
"arrive":8.924,
"delay":1.941
},
{
"type":"site_delay",
"pin0":
{
"log_name":"",
"phy_name":""
},
"pin1":
{
"log_name":"",
"phy_name":""
},
"arrive":8.924,
"delay":0.000
}
]
}
Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout
---------------------------------------- ------------------------ ---------------- -------- --------------------- ------
CONSTRAINT 0.000 6.666 1
OSCA001.OSCA_inst/HFCLKOUT OSC_CORE_OSC_CORE_R1C137 CLOCK LATENCY 0.000 6.666 342
top_reveal_coretop_instance/core0/tm_u/secured_instance_1_111/secured_signal_0_140
NET DELAY 0.317 6.983 342
CE001/DCC01/CLKI->CE001/DCC01/CLKO DCC_DCC_R1 DCC_DEL 0.000 6.983 40
CE001/CLK1 NET DELAY 1.941 8.924 40
LED1_0io.PIC_inst/CLK CLOCK PIN 0.000 8.924 1
Uncertainty -(0.000) 8.924
Common Path Skew 0.028 8.952
Setup time -(0.290) 8.662
---------------------------------------- ------------------------ ---------------- -------- --------------------- ------
Required Time 8.662
Arrival Time -(7.690)
---------------------------------------- ------------------------ ---------------- -------- --------------------- ------
Path Slack (Passed) 0.971
++++ Path 4 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
Path Begin : CE001/CE_reg.ff_inst/Q (SLICE_R41C73D)
Path End : CE001/DCC01/CE (DCC_DCC_R1)
Source Clock : CLK (R)
Destination Clock: CLK (R)
Logic Level : 1
Delay Ratio : 89.8% (route), 10.2% (logic)
Clock Skew : -2.025 ns
Setup Constraint : 6.666 ns
Common Path Skew : 0.000 ns
Path Slack : 1.746 ns (Passed)
Source Clock Path
{
"path_begin":
{
"type":"pin",
"log_name":"OSCA001.OSCA_inst/HFCLKOUT",
"phy_name":"OSCA001.OSCA_inst/HFCLKOUT"
},
"path_end":
{
"type":"pin",
"log_name":"CE001/CE1_reg.ff_inst/CLK",
"phy_name":"jtaghub_inst.jtdo1_2_i_o4_cZ/CLK"
},
"path_sections":[
{
"type":"net_delay",
"net":
{
"log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_111/secured_signal_0_140",
"phy_name":"CLK"
},
"arrive":2.342,
"delay":2.342
},
{
"type":"site_delay",
"pin0":
{
"log_name":"",
"phy_name":""
},
"pin1":
{
"log_name":"",
"phy_name":""
},
"arrive":2.342,
"delay":0.000
}
]
}
Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout
---------------------------------------- ------------------------ ---------------- -------- --------------------- ------
OSCA001.OSCA_inst/HFCLKOUT OSC_CORE_OSC_CORE_R1C137 CLOCK LATENCY 0.000 0.000 342
top_reveal_coretop_instance/core0/tm_u/secured_instance_1_111/secured_signal_0_140
NET DELAY 2.342 2.342 342
{CE001/CE1_reg.ff_inst/CLK CE001/CE_reg.ff_inst/CLK}
CLOCK PIN 0.000 2.342 1
Data Path
{
"path_begin":
{
"type":"pin",
"log_name":"CE001/CE_reg.ff_inst/Q",
"phy_name":"jtaghub_inst.jtdo1_2_i_o4_cZ/Q1"
},
"path_end":
{
"type":"pin",
"log_name":"CE001/DCC01/CE",
"phy_name":"CE001.DCC01/CE"
},
"path_sections":[
{
"type":"site_delay",
"pin0":
{
"log_name":"CE001/CE_reg.ff_inst/CLK",
"phy_name":"jtaghub_inst.jtdo1_2_i_o4_cZ/CLK"
},
"pin1":
{
"log_name":"CE001/CE_reg.ff_inst/Q",
"phy_name":"jtaghub_inst.jtdo1_2_i_o4_cZ/Q1"
},
"arrive":2.637,
"delay":0.295
},
{
"type":"net_delay",
"net":
{
"log_name":"CE001/CE",
"phy_name":"CE001.CE"
},
"arrive":5.237,
"delay":2.600
},
{
"type":"site_delay",
"pin0":
{
"log_name":"",
"phy_name":""
},
"pin1":
{
"log_name":"",
"phy_name":""
},
"arrive":5.237,
"delay":0.000
}
]
}
Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout
---------------------------------------- ------------------------ ---------------- -------- --------------------- ------
CE001/CE_reg.ff_inst/CLK->CE001/CE_reg.ff_inst/Q
SLICE_R41C73D REG_DEL 0.295 2.637 1
CE001/CE NET DELAY 2.600 5.237 1
CE001/DCC01/CE ENDPOINT 0.000 5.237 1
Destination Clock Path
{
"path_begin":
{
"type":"pin",
"log_name":"OSCA001.OSCA_inst/HFCLKOUT",
"phy_name":"OSCA001.OSCA_inst/HFCLKOUT"
},
"path_end":
{
"type":"pin",
"log_name":"CE001/DCC01/CLKI",
"phy_name":"CE001.DCC01/CLKI"
},
"path_sections":[
{
"type":"site_delay",
"pin0":
{
"log_name":"",
"phy_name":""
},
"pin1":
{
"log_name":"",
"phy_name":""
},
"arrive":6.666,
"delay":0.000
},
{
"type":"net_delay",
"net":
{
"log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_111/secured_signal_0_140",
"phy_name":"CLK"
},
"arrive":6.983,
"delay":0.317
},
{
"type":"site_delay",
"pin0":
{
"log_name":"",
"phy_name":""
},
"pin1":
{
"log_name":"",
"phy_name":""
},
"arrive":6.983,
"delay":0.000
}
]
}
Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout
---------------------------------------- ------------------------ ---------------- -------- --------------------- ------
CONSTRAINT 0.000 6.666 1
OSCA001.OSCA_inst/HFCLKOUT OSC_CORE_OSC_CORE_R1C137 CLOCK LATENCY 0.000 6.666 342
top_reveal_coretop_instance/core0/tm_u/secured_instance_1_111/secured_signal_0_140
NET DELAY 0.317 6.983 342
CE001/DCC01/CLKI CLOCK PIN 0.000 6.983 1
Uncertainty -(0.000) 6.983
Common Path Skew 0.000 6.983
Setup time -(0.000) 6.983
---------------------------------------- ------------------------ ---------------- -------- --------------------- ------
Required Time 6.983
Arrival Time -(5.236)
---------------------------------------- ------------------------ ---------------- -------- --------------------- ------
Path Slack (Passed) 1.746
++++ Path 5 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
Path Begin : top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_8_102/Q (SLICE_R36C44B)
Path End : top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_8_95/DF (SLICE_R41C43C)
Source Clock : CLK (R)
Destination Clock: CLK (R)
Logic Level : 10
Delay Ratio : 55.8% (route), 44.2% (logic)
Clock Skew : -0.130 ns
Setup Constraint : 6.666 ns
Common Path Skew : 0.027 ns
Path Slack : 1.753 ns (Passed)
Source Clock Path
{
"path_begin":
{
"type":"pin",
"log_name":"OSCA001.OSCA_inst/HFCLKOUT",
"phy_name":"OSCA001.OSCA_inst/HFCLKOUT"
},
"path_end":
{
"type":"pin",
"log_name":"top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_8_102/CLK",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.te_1.IlmakoKkzGJqbAnCih0DskD24f5ez9tLytLLu65ffzH12G2ozysJf2dwq[0]/CLK"
},
"path_sections":[
{
"type":"net_delay",
"net":
{
"log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_111/secured_signal_0_140",
"phy_name":"CLK"
},
"arrive":2.342,
"delay":2.342
},
{
"type":"site_delay",
"pin0":
{
"log_name":"",
"phy_name":""
},
"pin1":
{
"log_name":"",
"phy_name":""
},
"arrive":2.342,
"delay":0.000
}
]
}
Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout
---------------------------------------- ------------------------ ---------------- --------- --------------------- ------
OSCA001.OSCA_inst/HFCLKOUT OSC_CORE_OSC_CORE_R1C137 CLOCK LATENCY 0.000 0.000 342
top_reveal_coretop_instance/core0/tm_u/secured_instance_1_111/secured_signal_0_140
NET DELAY 2.342 2.342 342
{top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_8_102/CLK top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_8_101/CLK}
CLOCK PIN 0.000 2.342 1
Data Path
{
"path_begin":
{
"type":"pin",
"log_name":"top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_8_102/Q",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.te_1.IlmakoKkzGJqbAnCih0DskD24f5ez9tLytLLu65ffzH12G2ozysJf2dwq[0]/Q0"
},
"path_end":
{
"type":"pin",
"log_name":"top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_8_95/DF",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_4.Ie0fvlc4DA.ff_inst/DI0"
},
"path_sections":[
{
"type":"site_delay",
"pin0":
{
"log_name":"top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_8_102/CLK",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.te_1.IlmakoKkzGJqbAnCih0DskD24f5ez9tLytLLu65ffzH12G2ozysJf2dwq[0]/CLK"
},
"pin1":
{
"log_name":"top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_8_102/Q",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.te_1.IlmakoKkzGJqbAnCih0DskD24f5ez9tLytLLu65ffzH12G2ozysJf2dwq[0]/Q0"
},
"arrive":2.648,
"delay":0.306
},
{
"type":"net_delay",
"net":
{
"log_name":"top_reveal_coretop_instance/core0/trig_u/tu_4/secured_signal_8_86",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_4.NhL6rK7kjDdr78xjJ[3]"
},
"arrive":3.226,
"delay":0.578
},
{
"type":"site_delay",
"pin0":
{
"log_name":"top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_8_71/B",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_4.IdqzHCkaae4atgf2l52vbJ0A680pb1xbc/B1"
},
"pin1":
{
"log_name":"top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_8_71/Z",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_4.IdqzHCkaae4atgf2l52vbJ0A680pb1xbc/F1"
},
"arrive":3.440,
"delay":0.214
},
{
"type":"net_delay",
"net":
{
"log_name":"top_reveal_coretop_instance/core0/trig_u/tu_4/secured_signal_8_197",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_4.NjzhBHr2GnijAwxbFvzqagmEyCr"
},
"arrive":3.938,
"delay":0.498
},
{
"type":"site_delay",
"pin0":
{
"log_name":"top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_8_72/D",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_4.IdqzHCkaae4atgf2l52vbJ0A680pb1xbc/A0"
},
"pin1":
{
"log_name":"top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_8_72/Z",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_4.IdqzHCkaae4atgf2l52vbJ0A680pb1xbc/F0"
},
"arrive":4.152,
"delay":0.214
},
{
"type":"net_delay",
"net":
{
"log_name":"top_reveal_coretop_instance/core0/trig_u/tu_4/secured_signal_8_160",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_4.Nf4H8HwGahC46npu56oLynGhHkotr5"
},
"arrive":4.646,
"delay":0.494
},
{
"type":"site_delay",
"pin0":
{
"log_name":"top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_8_78/A0",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_4.IqdwgKi79qkEwzIGgJp6Hxba/A0"
},
"pin1":
{
"log_name":"top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_8_78/COUT",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_4.IqdwgKi79qkEwzIGgJp6Hxba/FCO"
},
"arrive":4.964,
"delay":0.318
},
{
"type":"net_delay",
"net":
{
"log_name":"top_reveal_coretop_instance/core0/trig_u/tu_4/secured_signal_8_111",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_4.NjzhBHr2GnijAwxcxurFentaE3a[2]"
},
"arrive":4.964,
"delay":0.000
},
{
"type":"site_delay",
"pin0":
{
"log_name":"top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_8_77/CIN",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_4.IqdwgKi79qkEwzIGgJp35b7a/FCI"
},
"pin1":
{
"log_name":"top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_8_77/COUT",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_4.IqdwgKi79qkEwzIGgJp35b7a/FCO"
},
"arrive":5.018,
"delay":0.054
},
{
"type":"net_delay",
"net":
{
"log_name":"top_reveal_coretop_instance/core0/trig_u/tu_4/secured_signal_8_110",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_4.NjzhBHr2GnijAwxcxurFentaE3a[4]"
},
"arrive":5.018,
"delay":0.000
},
{
"type":"site_delay",
"pin0":
{
"log_name":"top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_8_76/CIN",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_4.IqdwgKi79qkEwzIGgJp0z77a/FCI"
},
"pin1":
{
"log_name":"top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_8_76/COUT",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_4.IqdwgKi79qkEwzIGgJp0z77a/FCO"
},
"arrive":5.072,
"delay":0.054
},
{
"type":"net_delay",
"net":
{
"log_name":"top_reveal_coretop_instance/core0/trig_u/tu_4/secured_signal_8_109",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_4.NjzhBHr2GnijAwxcxurFentaE3a[6]"
},
"arrive":5.072,
"delay":0.000
},
{
"type":"site_delay",
"pin0":
{
"log_name":"top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_8_75/CIN",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_4.IqdwgKi79qkEwzIGgJpAaDba/FCI"
},
"pin1":
{
"log_name":"top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_8_75/S1",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_4.IqdwgKi79qkEwzIGgJpAaDba/F1"
},
"arrive":5.354,
"delay":0.282
},
{
"type":"net_delay",
"net":
{
"log_name":"top_reveal_coretop_instance/core0/trig_u/tu_4/secured_signal_8_130",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_4.NbcCj0hnGbwlAcxGFJcDfs1p4kmCr"
},
"arrive":5.706,
"delay":0.352
},
{
"type":"site_delay",
"pin0":
{
"log_name":"top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_8_52/B",
"phy_name":"top_reveal_coretop_instance.core0.tm_u.IcLtEjh6ygH3giFahFshsnc[4].ff_inst/D1"
},
"pin1":
{
"log_name":"top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_8_52/Z",
"phy_name":"top_reveal_coretop_instance.core0.tm_u.IcLtEjh6ygH3giFahFshsnc[4].ff_inst/F1"
},
"arrive":5.920,
"delay":0.214
},
{
"type":"net_delay",
"net":
{
"log_name":"top_reveal_coretop_instance/core0/trig_u/tu_4/secured_signal_8_163",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_4.Ne1IDB4vm9"
},
"arrive":6.322,
"delay":0.402
},
{
"type":"site_delay",
"pin0":
{
"log_name":"top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_8_90/D",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_4.ImmjHJuzggHxba/B1"
},
"pin1":
{
"log_name":"top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_8_90/Z",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_4.ImmjHJuzggHxba/OFX0"
},
"arrive":6.606,
"delay":0.284
},
{
"type":"net_delay",
"net":
{
"log_name":"top_reveal_coretop_instance/core0/trig_u/tu_4/secured_signal_8_165",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_4.NKvlC"
},
"arrive":6.996,
"delay":0.390
},
{
"type":"site_delay",
"pin0":
{
"log_name":"top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_8_58/B",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_4.Ie0fvlc4DA.ff_inst/C0"
},
"pin1":
{
"log_name":"top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_8_58/Z",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_4.Ie0fvlc4DA.ff_inst/F0"
},
"arrive":7.210,
"delay":0.214
},
{
"type":"net_delay",
"net":
{
"log_name":"top_reveal_coretop_instance/core0/trig_u/tu_4/secured_signal_8_150",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_4.Ncd"
},
"arrive":7.210,
"delay":0.000
},
{
"type":"site_delay",
"pin0":
{
"log_name":"",
"phy_name":""
},
"pin1":
{
"log_name":"",
"phy_name":""
},
"arrive":7.210,
"delay":0.000
}
]
}
Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout
---------------------------------------- ------------------------ ---------------- --------- --------------------- ------
top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_8_102/CLK->top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_8_102/Q
SLICE_R36C44B REG_DEL 0.306 2.648 3
top_reveal_coretop_instance/core0/trig_u/tu_4/secured_signal_8_86
NET DELAY 0.578 3.226 3
top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_8_71/B->top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_8_71/Z
SLICE_R42C48B CTOF_DEL 0.214 3.440 1
top_reveal_coretop_instance/core0/trig_u/tu_4/secured_signal_8_197
NET DELAY 0.498 3.938 1
top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_8_72/D->top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_8_72/Z
SLICE_R42C48B CTOF_DEL 0.214 4.152 1
top_reveal_coretop_instance/core0/trig_u/tu_4/secured_signal_8_160
NET DELAY 0.494 4.646 1
top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_8_78/A0->top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_8_78/COUT
SLICE_R42C52B C0TOFCO_DEL 0.318 4.964 1
top_reveal_coretop_instance/core0/trig_u/tu_4/secured_signal_8_111
NET DELAY 0.000 4.964 1
top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_8_77/CIN->top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_8_77/COUT
SLICE_R42C52C FCITOFCO_DEL 0.054 5.018 1
top_reveal_coretop_instance/core0/trig_u/tu_4/secured_signal_8_110
NET DELAY 0.000 5.018 1
top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_8_76/CIN->top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_8_76/COUT
SLICE_R42C52D FCITOFCO_DEL 0.054 5.072 1
top_reveal_coretop_instance/core0/trig_u/tu_4/secured_signal_8_109
NET DELAY 0.000 5.072 1
top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_8_75/CIN->top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_8_75/S1
SLICE_R42C53A FCITOF1_DEL 0.282 5.354 3
top_reveal_coretop_instance/core0/trig_u/tu_4/secured_signal_8_130
NET DELAY 0.352 5.706 3
top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_8_52/B->top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_8_52/Z
SLICE_R42C50B CTOF_DEL 0.214 5.920 1
top_reveal_coretop_instance/core0/trig_u/tu_4/secured_signal_8_163
NET DELAY 0.402 6.322 1
top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_8_90/D->top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_8_90/Z
SLICE_R42C45C CTOOF_DEL 0.284 6.606 1
top_reveal_coretop_instance/core0/trig_u/tu_4/secured_signal_8_165
NET DELAY 0.390 6.996 1
top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_8_58/B->top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_8_58/Z
SLICE_R41C43C CTOF_DEL 0.214 7.210 1
top_reveal_coretop_instance/core0/trig_u/tu_4/secured_signal_8_150
NET DELAY 0.000 7.210 1
top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_8_95/DF
ENDPOINT 0.000 7.210 1
Destination Clock Path
{
"path_begin":
{
"type":"pin",
"log_name":"OSCA001.OSCA_inst/HFCLKOUT",
"phy_name":"OSCA001.OSCA_inst/HFCLKOUT"
},
"path_end":
{
"type":"pin",
"log_name":"top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_8_95/CLK",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_4.Ie0fvlc4DA.ff_inst/CLK"
},
"path_sections":[
{
"type":"site_delay",
"pin0":
{
"log_name":"",
"phy_name":""
},
"pin1":
{
"log_name":"",
"phy_name":""
},
"arrive":6.666,
"delay":0.000
},
{
"type":"net_delay",
"net":
{
"log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_111/secured_signal_0_140",
"phy_name":"CLK"
},
"arrive":8.878,
"delay":2.212
},
{
"type":"site_delay",
"pin0":
{
"log_name":"",
"phy_name":""
},
"pin1":
{
"log_name":"",
"phy_name":""
},
"arrive":8.878,
"delay":0.000
}
]
}
Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout
---------------------------------------- ------------------------ ---------------- --------- --------------------- ------
CONSTRAINT 0.000 6.666 1
OSCA001.OSCA_inst/HFCLKOUT OSC_CORE_OSC_CORE_R1C137 CLOCK LATENCY 0.000 6.666 342
top_reveal_coretop_instance/core0/tm_u/secured_instance_1_111/secured_signal_0_140
NET DELAY 2.212 8.878 342
{top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_8_95/CLK top_reveal_coretop_instance/core0/trig_u/te_0/secured_instance_7_80/CLK}
CLOCK PIN 0.000 8.878 1
Uncertainty -(0.000) 8.878
Common Path Skew 0.027 8.905
Setup time -(-0.058) 8.963
---------------------------------------- ------------------------ ---------------- --------- --------------------- ------
Required Time 8.963
Arrival Time -(7.209)
---------------------------------------- ------------------------ ---------------- --------- --------------------- ------
Path Slack (Passed) 1.753
++++ Path 6 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
Path Begin : CNT02/Couti[0].ff_inst/Q (SLICE_R36C74A)
Path End : LED2_0io.PIC_inst/D (SIOLOGIC_CORE_IOL_R28A)
Source Clock : CLK (R)
Destination Clock: CLK (R)
Logic Level : 2
Delay Ratio : 88.9% (route), 11.1% (logic)
Clock Skew : -0.084 ns
Setup Constraint : 6.666 ns
Common Path Skew : 0.098 ns
Path Slack : 1.756 ns (Passed)
Source Clock Path
{
"path_begin":
{
"type":"pin",
"log_name":"OSCA001.OSCA_inst/HFCLKOUT",
"phy_name":"OSCA001.OSCA_inst/HFCLKOUT"
},
"path_end":
{
"type":"pin",
"log_name":"CNT02/Couti[0].ff_inst/CLK",
"phy_name":"CNT02.Couti_cry_0[0]/CLK"
},
"path_sections":[
{
"type":"net_delay",
"net":
{
"log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_111/secured_signal_0_140",
"phy_name":"CLK"
},
"arrive":0.345,
"delay":0.345
},
{
"type":"site_delay",
"pin0":
{
"log_name":"CE001/DCC01/CLKI",
"phy_name":"CE001.DCC01/CLKI"
},
"pin1":
{
"log_name":"CE001/DCC01/CLKO",
"phy_name":"CE001.DCC01/CLKO"
},
"arrive":0.345,
"delay":0.000
},
{
"type":"net_delay",
"net":
{
"log_name":"CE001/CLK1",
"phy_name":"CLK1"
},
"arrive":2.342,
"delay":1.997
},
{
"type":"site_delay",
"pin0":
{
"log_name":"",
"phy_name":""
},
"pin1":
{
"log_name":"",
"phy_name":""
},
"arrive":2.342,
"delay":0.000
}
]
}
Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout
---------------------------------------- ------------------------ ---------------- -------- --------------------- ------
OSCA001.OSCA_inst/HFCLKOUT OSC_CORE_OSC_CORE_R1C137 CLOCK LATENCY 0.000 0.000 342
top_reveal_coretop_instance/core0/tm_u/secured_instance_1_111/secured_signal_0_140
NET DELAY 0.345 0.345 342
CE001/DCC01/CLKI->CE001/DCC01/CLKO DCC_DCC_R1 DCC_DEL 0.000 0.345 40
CE001/CLK1 NET DELAY 1.997 2.342 40
CNT02/Couti[0].ff_inst/CLK CLOCK PIN 0.000 2.342 1
Data Path
{
"path_begin":
{
"type":"pin",
"log_name":"CNT02/Couti[0].ff_inst/Q",
"phy_name":"CNT02.Couti_cry_0[0]/Q1"
},
"path_end":
{
"type":"pin",
"log_name":"LED2_0io.PIC_inst/D",
"phy_name":"LED2_pad.bb_inst_IOL/TXDATA0"
},
"path_sections":[
{
"type":"site_delay",
"pin0":
{
"log_name":"CNT02/Couti[0].ff_inst/CLK",
"phy_name":"CNT02.Couti_cry_0[0]/CLK"
},
"pin1":
{
"log_name":"CNT02/Couti[0].ff_inst/Q",
"phy_name":"CNT02.Couti_cry_0[0]/Q1"
},
"arrive":2.651,
"delay":0.309
},
{
"type":"net_delay",
"net":
{
"log_name":"top_reveal_coretop_instance/core0/tm_u/secured_signal_1_57",
"phy_name":"CNT2[0]"
},
"arrive":3.654,
"delay":1.003
},
{
"type":"site_delay",
"pin0":
{
"log_name":"LED2_1_cZ/A",
"phy_name":"LED2_1_cZ/D0"
},
"pin1":
{
"log_name":"LED2_1_cZ/Z",
"phy_name":"LED2_1_cZ/F0"
},
"arrive":3.860,
"delay":0.206
},
{
"type":"net_delay",
"net":
{
"log_name":"LED2_1",
"phy_name":"LED2_1"
},
"arrive":6.976,
"delay":3.116
},
{
"type":"site_delay",
"pin0":
{
"log_name":"",
"phy_name":""
},
"pin1":
{
"log_name":"",
"phy_name":""
},
"arrive":6.976,
"delay":0.000
}
]
}
Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout
---------------------------------------- ------------------------ ---------------- -------- --------------------- ------
CNT02/Couti[0].ff_inst/CLK->CNT02/Couti[0].ff_inst/Q
SLICE_R36C74A REG_DEL 0.309 2.651 4
top_reveal_coretop_instance/core0/tm_u/secured_signal_1_57
NET DELAY 1.003 3.654 4
LED2_1_cZ/A->LED2_1_cZ/Z SLICE_R36C45C CTOF_DEL 0.206 3.860 1
LED2_1 NET DELAY 3.116 6.976 1
LED2_0io.PIC_inst/D ENDPOINT 0.000 6.976 1
Destination Clock Path
{
"path_begin":
{
"type":"pin",
"log_name":"OSCA001.OSCA_inst/HFCLKOUT",
"phy_name":"OSCA001.OSCA_inst/HFCLKOUT"
},
"path_end":
{
"type":"pin",
"log_name":"LED2_0io.PIC_inst/CLK",
"phy_name":"LED2_pad.bb_inst_IOL/SCLKOUT"
},
"path_sections":[
{
"type":"site_delay",
"pin0":
{
"log_name":"",
"phy_name":""
},
"pin1":
{
"log_name":"",
"phy_name":""
},
"arrive":6.666,
"delay":0.000
},
{
"type":"net_delay",
"net":
{
"log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_111/secured_signal_0_140",
"phy_name":"CLK"
},
"arrive":6.983,
"delay":0.317
},
{
"type":"site_delay",
"pin0":
{
"log_name":"CE001/DCC01/CLKI",
"phy_name":"CE001.DCC01/CLKI"
},
"pin1":
{
"log_name":"CE001/DCC01/CLKO",
"phy_name":"CE001.DCC01/CLKO"
},
"arrive":6.983,
"delay":0.000
},
{
"type":"net_delay",
"net":
{
"log_name":"CE001/CLK1",
"phy_name":"CLK1"
},
"arrive":8.924,
"delay":1.941
},
{
"type":"site_delay",
"pin0":
{
"log_name":"",
"phy_name":""
},
"pin1":
{
"log_name":"",
"phy_name":""
},
"arrive":8.924,
"delay":0.000
}
]
}
Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout
---------------------------------------- ------------------------ ---------------- -------- --------------------- ------
CONSTRAINT 0.000 6.666 1
OSCA001.OSCA_inst/HFCLKOUT OSC_CORE_OSC_CORE_R1C137 CLOCK LATENCY 0.000 6.666 342
top_reveal_coretop_instance/core0/tm_u/secured_instance_1_111/secured_signal_0_140
NET DELAY 0.317 6.983 342
CE001/DCC01/CLKI->CE001/DCC01/CLKO DCC_DCC_R1 DCC_DEL 0.000 6.983 40
CE001/CLK1 NET DELAY 1.941 8.924 40
LED2_0io.PIC_inst/CLK CLOCK PIN 0.000 8.924 1
Uncertainty -(0.000) 8.924
Common Path Skew 0.098 9.022
Setup time -(0.290) 8.732
---------------------------------------- ------------------------ ---------------- -------- --------------------- ------
Required Time 8.732
Arrival Time -(6.975)
---------------------------------------- ------------------------ ---------------- -------- --------------------- ------
Path Slack (Passed) 1.756
++++ Path 7 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
Path Begin : top_reveal_coretop_instance/core0/trig_u/te_1/secured_instance_5_130/secured_instance_4_16/Q (SLICE_R32C39C)
Path End : top_reveal_coretop_instance/core0/trig_u/te_1/secured_instance_5_251/DF (SLICE_R36C39B)
Source Clock : CLK (R)
Destination Clock: CLK (R)
Logic Level : 8
Delay Ratio : 61.2% (route), 38.8% (logic)
Clock Skew : -0.130 ns
Setup Constraint : 6.666 ns
Common Path Skew : 0.103 ns
Path Slack : 1.869 ns (Passed)
Source Clock Path
{
"path_begin":
{
"type":"pin",
"log_name":"OSCA001.OSCA_inst/HFCLKOUT",
"phy_name":"OSCA001.OSCA_inst/HFCLKOUT"
},
"path_end":
{
"type":"pin",
"log_name":"top_reveal_coretop_instance/core0/trig_u/te_1/secured_instance_5_130/secured_instance_4_17/CLK",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.te_1.IcJqK4vCkkI3A42Eer0fAh4HlL8ecj7IJ.Iolb7JEGql8[7].ff_inst/CLK"
},
"path_sections":[
{
"type":"net_delay",
"net":
{
"log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_111/secured_signal_0_140",
"phy_name":"CLK"
},
"arrive":2.342,
"delay":2.342
},
{
"type":"site_delay",
"pin0":
{
"log_name":"",
"phy_name":""
},
"pin1":
{
"log_name":"",
"phy_name":""
},
"arrive":2.342,
"delay":0.000
}
]
}
Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout
---------------------------------------- ------------------------ ---------------- --------- --------------------- ------
OSCA001.OSCA_inst/HFCLKOUT OSC_CORE_OSC_CORE_R1C137 CLOCK LATENCY 0.000 0.000 342
top_reveal_coretop_instance/core0/tm_u/secured_instance_1_111/secured_signal_0_140
NET DELAY 2.342 2.342 342
{top_reveal_coretop_instance/core0/trig_u/te_1/secured_instance_5_130/secured_instance_4_17/CLK top_reveal_coretop_instance/core0/trig_u/te_1/secured_instance_5_130/secured_instance_4_16/CLK}
CLOCK PIN 0.000 2.342 1
Data Path
{
"path_begin":
{
"type":"pin",
"log_name":"top_reveal_coretop_instance/core0/trig_u/te_1/secured_instance_5_130/secured_instance_4_16/Q",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.te_1.IcJqK4vCkkI3A42Eer0fAh4HlL8ecj7IJ.Iolb7JEGql8[7].ff_inst/Q1"
},
"path_end":
{
"type":"pin",
"log_name":"top_reveal_coretop_instance/core0/trig_u/te_1/secured_instance_5_251/DF",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.te_1.Ibs9e948p5Lino8s[1].ff_inst/DI1"
},
"path_sections":[
{
"type":"site_delay",
"pin0":
{
"log_name":"top_reveal_coretop_instance/core0/trig_u/te_1/secured_instance_5_130/secured_instance_4_16/CLK",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.te_1.IcJqK4vCkkI3A42Eer0fAh4HlL8ecj7IJ.Iolb7JEGql8[7].ff_inst/CLK"
},
"pin1":
{
"log_name":"top_reveal_coretop_instance/core0/trig_u/te_1/secured_instance_5_130/secured_instance_4_16/Q",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.te_1.IcJqK4vCkkI3A42Eer0fAh4HlL8ecj7IJ.Iolb7JEGql8[7].ff_inst/Q1"
},
"arrive":2.651,
"delay":0.309
},
{
"type":"net_delay",
"net":
{
"log_name":"top_reveal_coretop_instance/core0/trig_u/te_1/secured_instance_5_130/secured_signal_4_19",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.te_1.Ne0ferKx8u[6]"
},
"arrive":3.149,
"delay":0.498
},
{
"type":"site_delay",
"pin0":
{
"log_name":"top_reveal_coretop_instance/core0/trig_u/te_1/secured_instance_5_171/C",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.te_1.Id0Fpm3lKdnky06fy7GCqdCteAqbp9B9wt2oxnGmLv9k18s3puImp/A1"
},
"pin1":
{
"log_name":"top_reveal_coretop_instance/core0/trig_u/te_1/secured_instance_5_171/Z",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.te_1.Id0Fpm3lKdnky06fy7GCqdCteAqbp9B9wt2oxnGmLv9k18s3puImp/OFX0"
},
"arrive":3.429,
"delay":0.280
},
{
"type":"net_delay",
"net":
{
"log_name":"top_reveal_coretop_instance/core0/trig_u/te_1/secured_signal_5_263",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.te_1.N1zb4q7e"
},
"arrive":3.738,
"delay":0.309
},
{
"type":"site_delay",
"pin0":
{
"log_name":"top_reveal_coretop_instance/core0/trig_u/te_1/secured_instance_5_103/A",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.te_1.IdJ4onDdADcnIz5f1ociu3Ga5Jk8b2w7BLJcqk4q9rIh7I1nxDmK5rfb9LJHv19/C1"
},
"pin1":
{
"log_name":"top_reveal_coretop_instance/core0/trig_u/te_1/secured_instance_5_103/Z",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.te_1.IdJ4onDdADcnIz5f1ociu3Ga5Jk8b2w7BLJcqk4q9rIh7I1nxDmK5rfb9LJHv19/F1"
},
"arrive":3.952,
"delay":0.214
},
{
"type":"net_delay",
"net":
{
"log_name":"top_reveal_coretop_instance/core0/trig_u/te_1/secured_signal_5_265",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.te_1.NcKgGbyr271p2HalK45ancu"
},
"arrive":4.115,
"delay":0.163
},
{
"type":"site_delay",
"pin0":
{
"log_name":"top_reveal_coretop_instance/core0/trig_u/te_1/secured_instance_5_56/A",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.te_1.Ivdr2j6ueqmknyBJ70H2JLqd0rjfyxFek56mwy7FvuLdnvChAl0vE4AjFcg6bKbt/C1"
},
"pin1":
{
"log_name":"top_reveal_coretop_instance/core0/trig_u/te_1/secured_instance_5_56/Z",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.te_1.Ivdr2j6ueqmknyBJ70H2JLqd0rjfyxFek56mwy7FvuLdnvChAl0vE4AjFcg6bKbt/F1"
},
"arrive":4.329,
"delay":0.214
},
{
"type":"net_delay",
"net":
{
"log_name":"top_reveal_coretop_instance/core0/trig_u/te_1/secured_signal_5_229",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.te_1.Nf0kwkFfyeAhG23zmgs7s1ILf7amD1"
},
"arrive":4.895,
"delay":0.566
},
{
"type":"site_delay",
"pin0":
{
"log_name":"top_reveal_coretop_instance/core0/trig_u/te_1/secured_instance_5_52/C",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.te_1.IbgcJyCyk459A4Jb9Ak9akj7bDyrpKJIqDJ52w41zrJHxs2ra[1]/B1"
},
"pin1":
{
"log_name":"top_reveal_coretop_instance/core0/trig_u/te_1/secured_instance_5_52/Z",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.te_1.IbgcJyCyk459A4Jb9Ak9akj7bDyrpKJIqDJ52w41zrJHxs2ra[1]/F1"
},
"arrive":5.109,
"delay":0.214
},
{
"type":"net_delay",
"net":
{
"log_name":"top_reveal_coretop_instance/core0/trig_u/te_1/secured_signal_5_232",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.te_1.Ngm6nHI8Kb8EzJ9Jz8A9yhGoliEvsJitlib0c2rb"
},
"arrive":5.668,
"delay":0.559
},
{
"type":"site_delay",
"pin0":
{
"log_name":"top_reveal_coretop_instance/core0/trig_u/te_1/secured_instance_5_32/D",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.te_1.IbgcJyCyk459A4Jb9Ak9akj7bDyrpKJIqDJ52w41zrJHxs2ra[0]/A0"
},
"pin1":
{
"log_name":"top_reveal_coretop_instance/core0/trig_u/te_1/secured_instance_5_32/Z",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.te_1.IbgcJyCyk459A4Jb9Ak9akj7bDyrpKJIqDJ52w41zrJHxs2ra[0]/F0"
},
"arrive":5.882,
"delay":0.214
},
{
"type":"net_delay",
"net":
{
"log_name":"top_reveal_coretop_instance/core0/trig_u/te_1/secured_signal_5_176",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.te_1.NbgcJyCyk459A4Jb9Ak9akj7bDyrpKJIqDJ52w41zrJHxs2ra[0]"
},
"arrive":6.464,
"delay":0.582
},
{
"type":"site_delay",
"pin0":
{
"log_name":"top_reveal_coretop_instance/core0/trig_u/te_1/secured_instance_5_23/B",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.te_1.IbgcJyCyk459A4Jb9Ak9akj7bDyrpKJIqDJ52w41zrJHxs2rb[0]/A0"
},
"pin1":
{
"log_name":"top_reveal_coretop_instance/core0/trig_u/te_1/secured_instance_5_23/Z",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.te_1.IbgcJyCyk459A4Jb9Ak9akj7bDyrpKJIqDJ52w41zrJHxs2rb[0]/F0"
},
"arrive":6.678,
"delay":0.214
},
{
"type":"net_delay",
"net":
{
"log_name":"top_reveal_coretop_instance/core0/trig_u/te_1/secured_signal_5_178",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.te_1.NbgcJyCyk459A4Jb9Ak9akj7bDyrpKJIqDJ52w41zrJHxs2rb[0]"
},
"arrive":6.955,
"delay":0.277
},
{
"type":"site_delay",
"pin0":
{
"log_name":"top_reveal_coretop_instance/core0/trig_u/te_1/secured_instance_5_13/B",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.te_1.Ibs9e948p5Lino8s[1].ff_inst/C1"
},
"pin1":
{
"log_name":"top_reveal_coretop_instance/core0/trig_u/te_1/secured_instance_5_13/Z",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.te_1.Ibs9e948p5Lino8s[1].ff_inst/F1"
},
"arrive":7.169,
"delay":0.214
},
{
"type":"net_delay",
"net":
{
"log_name":"top_reveal_coretop_instance/core0/trig_u/te_1/secured_signal_5_143",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.te_1.NesHrJ6xhvdHq10okjbh[0]"
},
"arrive":7.169,
"delay":0.000
},
{
"type":"site_delay",
"pin0":
{
"log_name":"",
"phy_name":""
},
"pin1":
{
"log_name":"",
"phy_name":""
},
"arrive":7.169,
"delay":0.000
}
]
}
Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout
---------------------------------------- ------------------------ ---------------- --------- --------------------- ------
top_reveal_coretop_instance/core0/trig_u/te_1/secured_instance_5_130/secured_instance_4_16/CLK->top_reveal_coretop_instance/core0/trig_u/te_1/secured_instance_5_130/secured_instance_4_16/Q
SLICE_R32C39C REG_DEL 0.309 2.651 1
top_reveal_coretop_instance/core0/trig_u/te_1/secured_instance_5_130/secured_signal_4_19
NET DELAY 0.498 3.149 1
top_reveal_coretop_instance/core0/trig_u/te_1/secured_instance_5_171/C->top_reveal_coretop_instance/core0/trig_u/te_1/secured_instance_5_171/Z
SLICE_R34C39A CTOOF_DEL 0.280 3.429 1
top_reveal_coretop_instance/core0/trig_u/te_1/secured_signal_5_263
NET DELAY 0.309 3.738 1
top_reveal_coretop_instance/core0/trig_u/te_1/secured_instance_5_103/A->top_reveal_coretop_instance/core0/trig_u/te_1/secured_instance_5_103/Z
SLICE_R34C41C CTOF_DEL 0.214 3.952 1
top_reveal_coretop_instance/core0/trig_u/te_1/secured_signal_5_265
NET DELAY 0.163 4.115 1
top_reveal_coretop_instance/core0/trig_u/te_1/secured_instance_5_56/A->top_reveal_coretop_instance/core0/trig_u/te_1/secured_instance_5_56/Z
SLICE_R34C41A CTOF_DEL 0.214 4.329 13
top_reveal_coretop_instance/core0/trig_u/te_1/secured_signal_5_229
NET DELAY 0.566 4.895 13
top_reveal_coretop_instance/core0/trig_u/te_1/secured_instance_5_52/C->top_reveal_coretop_instance/core0/trig_u/te_1/secured_instance_5_52/Z
SLICE_R35C41C CTOF_DEL 0.214 5.109 3
top_reveal_coretop_instance/core0/trig_u/te_1/secured_signal_5_232
NET DELAY 0.559 5.668 3
top_reveal_coretop_instance/core0/trig_u/te_1/secured_instance_5_32/D->top_reveal_coretop_instance/core0/trig_u/te_1/secured_instance_5_32/Z
SLICE_R36C40D CTOF_DEL 0.214 5.882 1
top_reveal_coretop_instance/core0/trig_u/te_1/secured_signal_5_176
NET DELAY 0.582 6.464 1
top_reveal_coretop_instance/core0/trig_u/te_1/secured_instance_5_23/B->top_reveal_coretop_instance/core0/trig_u/te_1/secured_instance_5_23/Z
SLICE_R36C40B CTOF_DEL 0.214 6.678 1
top_reveal_coretop_instance/core0/trig_u/te_1/secured_signal_5_178
NET DELAY 0.277 6.955 1
top_reveal_coretop_instance/core0/trig_u/te_1/secured_instance_5_13/B->top_reveal_coretop_instance/core0/trig_u/te_1/secured_instance_5_13/Z
SLICE_R36C39B CTOF_DEL 0.214 7.169 1
top_reveal_coretop_instance/core0/trig_u/te_1/secured_signal_5_143
NET DELAY 0.000 7.169 1
top_reveal_coretop_instance/core0/trig_u/te_1/secured_instance_5_251/DF
ENDPOINT 0.000 7.169 1
Destination Clock Path
{
"path_begin":
{
"type":"pin",
"log_name":"OSCA001.OSCA_inst/HFCLKOUT",
"phy_name":"OSCA001.OSCA_inst/HFCLKOUT"
},
"path_end":
{
"type":"pin",
"log_name":"top_reveal_coretop_instance/core0/trig_u/te_1/secured_instance_5_252/CLK",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.te_1.Ibs9e948p5Lino8s[1].ff_inst/CLK"
},
"path_sections":[
{
"type":"site_delay",
"pin0":
{
"log_name":"",
"phy_name":""
},
"pin1":
{
"log_name":"",
"phy_name":""
},
"arrive":6.666,
"delay":0.000
},
{
"type":"net_delay",
"net":
{
"log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_111/secured_signal_0_140",
"phy_name":"CLK"
},
"arrive":8.878,
"delay":2.212
},
{
"type":"site_delay",
"pin0":
{
"log_name":"",
"phy_name":""
},
"pin1":
{
"log_name":"",
"phy_name":""
},
"arrive":8.878,
"delay":0.000
}
]
}
Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout
---------------------------------------- ------------------------ ---------------- --------- --------------------- ------
CONSTRAINT 0.000 6.666 1
OSCA001.OSCA_inst/HFCLKOUT OSC_CORE_OSC_CORE_R1C137 CLOCK LATENCY 0.000 6.666 342
top_reveal_coretop_instance/core0/tm_u/secured_instance_1_111/secured_signal_0_140
NET DELAY 2.212 8.878 342
{top_reveal_coretop_instance/core0/trig_u/te_1/secured_instance_5_252/CLK top_reveal_coretop_instance/core0/trig_u/te_1/secured_instance_5_251/CLK}
CLOCK PIN 0.000 8.878 1
Uncertainty -(0.000) 8.878
Common Path Skew 0.103 8.981
Setup time -(-0.057) 9.038
---------------------------------------- ------------------------ ---------------- --------- --------------------- ------
Required Time 9.038
Arrival Time -(7.168)
---------------------------------------- ------------------------ ---------------- --------- --------------------- ------
Path Slack (Passed) 1.869
++++ Path 8 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
Path Begin : top_reveal_coretop_instance/core0/trig_u/te_1/secured_instance_5_130/secured_instance_4_16/Q (SLICE_R32C39C)
Path End : top_reveal_coretop_instance/core0/trig_u/te_1/secured_instance_5_269/DF (SLICE_R36C42B)
Source Clock : CLK (R)
Destination Clock: CLK (R)
Logic Level : 8
Delay Ratio : 61.0% (route), 39.0% (logic)
Clock Skew : -0.130 ns
Setup Constraint : 6.666 ns
Common Path Skew : 0.103 ns
Path Slack : 1.900 ns (Passed)
Source Clock Path
{
"path_begin":
{
"type":"pin",
"log_name":"OSCA001.OSCA_inst/HFCLKOUT",
"phy_name":"OSCA001.OSCA_inst/HFCLKOUT"
},
"path_end":
{
"type":"pin",
"log_name":"top_reveal_coretop_instance/core0/trig_u/te_1/secured_instance_5_130/secured_instance_4_17/CLK",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.te_1.IcJqK4vCkkI3A42Eer0fAh4HlL8ecj7IJ.Iolb7JEGql8[7].ff_inst/CLK"
},
"path_sections":[
{
"type":"net_delay",
"net":
{
"log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_111/secured_signal_0_140",
"phy_name":"CLK"
},
"arrive":2.342,
"delay":2.342
},
{
"type":"site_delay",
"pin0":
{
"log_name":"",
"phy_name":""
},
"pin1":
{
"log_name":"",
"phy_name":""
},
"arrive":2.342,
"delay":0.000
}
]
}
Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout
---------------------------------------- ------------------------ ---------------- --------- --------------------- ------
OSCA001.OSCA_inst/HFCLKOUT OSC_CORE_OSC_CORE_R1C137 CLOCK LATENCY 0.000 0.000 342
top_reveal_coretop_instance/core0/tm_u/secured_instance_1_111/secured_signal_0_140
NET DELAY 2.342 2.342 342
{top_reveal_coretop_instance/core0/trig_u/te_1/secured_instance_5_130/secured_instance_4_17/CLK top_reveal_coretop_instance/core0/trig_u/te_1/secured_instance_5_130/secured_instance_4_16/CLK}
CLOCK PIN 0.000 2.342 1
Data Path
{
"path_begin":
{
"type":"pin",
"log_name":"top_reveal_coretop_instance/core0/trig_u/te_1/secured_instance_5_130/secured_instance_4_16/Q",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.te_1.IcJqK4vCkkI3A42Eer0fAh4HlL8ecj7IJ.Iolb7JEGql8[7].ff_inst/Q1"
},
"path_end":
{
"type":"pin",
"log_name":"top_reveal_coretop_instance/core0/trig_u/te_1/secured_instance_5_269/DF",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.te_1.Ibs9e948p5Lino8s[2].ff_inst/DI0"
},
"path_sections":[
{
"type":"site_delay",
"pin0":
{
"log_name":"top_reveal_coretop_instance/core0/trig_u/te_1/secured_instance_5_130/secured_instance_4_16/CLK",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.te_1.IcJqK4vCkkI3A42Eer0fAh4HlL8ecj7IJ.Iolb7JEGql8[7].ff_inst/CLK"
},
"pin1":
{
"log_name":"top_reveal_coretop_instance/core0/trig_u/te_1/secured_instance_5_130/secured_instance_4_16/Q",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.te_1.IcJqK4vCkkI3A42Eer0fAh4HlL8ecj7IJ.Iolb7JEGql8[7].ff_inst/Q1"
},
"arrive":2.651,
"delay":0.309
},
{
"type":"net_delay",
"net":
{
"log_name":"top_reveal_coretop_instance/core0/trig_u/te_1/secured_instance_5_130/secured_signal_4_19",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.te_1.Ne0ferKx8u[6]"
},
"arrive":3.149,
"delay":0.498
},
{
"type":"site_delay",
"pin0":
{
"log_name":"top_reveal_coretop_instance/core0/trig_u/te_1/secured_instance_5_171/C",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.te_1.Id0Fpm3lKdnky06fy7GCqdCteAqbp9B9wt2oxnGmLv9k18s3puImp/A1"
},
"pin1":
{
"log_name":"top_reveal_coretop_instance/core0/trig_u/te_1/secured_instance_5_171/Z",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.te_1.Id0Fpm3lKdnky06fy7GCqdCteAqbp9B9wt2oxnGmLv9k18s3puImp/OFX0"
},
"arrive":3.429,
"delay":0.280
},
{
"type":"net_delay",
"net":
{
"log_name":"top_reveal_coretop_instance/core0/trig_u/te_1/secured_signal_5_263",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.te_1.N1zb4q7e"
},
"arrive":3.738,
"delay":0.309
},
{
"type":"site_delay",
"pin0":
{
"log_name":"top_reveal_coretop_instance/core0/trig_u/te_1/secured_instance_5_103/A",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.te_1.IdJ4onDdADcnIz5f1ociu3Ga5Jk8b2w7BLJcqk4q9rIh7I1nxDmK5rfb9LJHv19/C1"
},
"pin1":
{
"log_name":"top_reveal_coretop_instance/core0/trig_u/te_1/secured_instance_5_103/Z",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.te_1.IdJ4onDdADcnIz5f1ociu3Ga5Jk8b2w7BLJcqk4q9rIh7I1nxDmK5rfb9LJHv19/F1"
},
"arrive":3.952,
"delay":0.214
},
{
"type":"net_delay",
"net":
{
"log_name":"top_reveal_coretop_instance/core0/trig_u/te_1/secured_signal_5_265",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.te_1.NcKgGbyr271p2HalK45ancu"
},
"arrive":4.115,
"delay":0.163
},
{
"type":"site_delay",
"pin0":
{
"log_name":"top_reveal_coretop_instance/core0/trig_u/te_1/secured_instance_5_56/A",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.te_1.Ivdr2j6ueqmknyBJ70H2JLqd0rjfyxFek56mwy7FvuLdnvChAl0vE4AjFcg6bKbt/C1"
},
"pin1":
{
"log_name":"top_reveal_coretop_instance/core0/trig_u/te_1/secured_instance_5_56/Z",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.te_1.Ivdr2j6ueqmknyBJ70H2JLqd0rjfyxFek56mwy7FvuLdnvChAl0vE4AjFcg6bKbt/F1"
},
"arrive":4.329,
"delay":0.214
},
{
"type":"net_delay",
"net":
{
"log_name":"top_reveal_coretop_instance/core0/trig_u/te_1/secured_signal_5_229",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.te_1.Nf0kwkFfyeAhG23zmgs7s1ILf7amD1"
},
"arrive":4.933,
"delay":0.604
},
{
"type":"site_delay",
"pin0":
{
"log_name":"top_reveal_coretop_instance/core0/trig_u/te_1/secured_instance_5_116/C",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.te_1.I90662p7Hpu3qCEcf4t1F2GfLxasoqmqfLvvbL7g4rnvrrfzwru9GjJpAt7Ig/D1"
},
"pin1":
{
"log_name":"top_reveal_coretop_instance/core0/trig_u/te_1/secured_instance_5_116/Z",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.te_1.I90662p7Hpu3qCEcf4t1F2GfLxasoqmqfLvvbL7g4rnvrrfzwru9GjJpAt7Ig/F1"
},
"arrive":5.147,
"delay":0.214
},
{
"type":"net_delay",
"net":
{
"log_name":"top_reveal_coretop_instance/core0/trig_u/te_1/secured_signal_5_228",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.te_1.NbbHKib8byGBwLrz9njvAq3LbKx8u"
},
"arrive":5.697,
"delay":0.550
},
{
"type":"site_delay",
"pin0":
{
"log_name":"top_reveal_coretop_instance/core0/trig_u/te_1/secured_instance_5_25/A",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.te_1.IbHkKCEKFJ91qEjLJqgfdrksIKJB7zsfIlx6lpGJFctebe/A0"
},
"pin1":
{
"log_name":"top_reveal_coretop_instance/core0/trig_u/te_1/secured_instance_5_25/Z",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.te_1.IbHkKCEKFJ91qEjLJqgfdrksIKJB7zsfIlx6lpGJFctebe/F0"
},
"arrive":5.911,
"delay":0.214
},
{
"type":"net_delay",
"net":
{
"log_name":"top_reveal_coretop_instance/core0/trig_u/te_1/secured_signal_5_248",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.te_1.NqdwhakvykjcE27il4y5uFre"
},
"arrive":6.188,
"delay":0.277
},
{
"type":"site_delay",
"pin0":
{
"log_name":"top_reveal_coretop_instance/core0/trig_u/te_1/secured_instance_5_21/D",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.te_1.IbgcJyCyk459A4Jb9Ak9akj8pLsl6sxtukk3mjc9AJp0beKgr/C0"
},
"pin1":
{
"log_name":"top_reveal_coretop_instance/core0/trig_u/te_1/secured_instance_5_21/Z",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.te_1.IbgcJyCyk459A4Jb9Ak9akj8pLsl6sxtukk3mjc9AJp0beKgr/F0"
},
"arrive":6.402,
"delay":0.214
},
{
"type":"net_delay",
"net":
{
"log_name":"top_reveal_coretop_instance/core0/trig_u/te_1/secured_signal_5_231",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.te_1.NjzhBI1241n2jK8aKt1kKDmrtwr"
},
"arrive":6.925,
"delay":0.523
},
{
"type":"site_delay",
"pin0":
{
"log_name":"top_reveal_coretop_instance/core0/trig_u/te_1/secured_instance_5_11/D",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.te_1.Ibs9e948p5Lino8s[2].ff_inst/D0"
},
"pin1":
{
"log_name":"top_reveal_coretop_instance/core0/trig_u/te_1/secured_instance_5_11/Z",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.te_1.Ibs9e948p5Lino8s[2].ff_inst/F0"
},
"arrive":7.139,
"delay":0.214
},
{
"type":"net_delay",
"net":
{
"log_name":"top_reveal_coretop_instance/core0/trig_u/te_1/secured_signal_5_141",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.te_1.NesHrJ6xhvdHq10okjbh[2]"
},
"arrive":7.139,
"delay":0.000
},
{
"type":"site_delay",
"pin0":
{
"log_name":"",
"phy_name":""
},
"pin1":
{
"log_name":"",
"phy_name":""
},
"arrive":7.139,
"delay":0.000
}
]
}
Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout
---------------------------------------- ------------------------ ---------------- --------- --------------------- ------
top_reveal_coretop_instance/core0/trig_u/te_1/secured_instance_5_130/secured_instance_4_16/CLK->top_reveal_coretop_instance/core0/trig_u/te_1/secured_instance_5_130/secured_instance_4_16/Q
SLICE_R32C39C REG_DEL 0.309 2.651 1
top_reveal_coretop_instance/core0/trig_u/te_1/secured_instance_5_130/secured_signal_4_19
NET DELAY 0.498 3.149 1
top_reveal_coretop_instance/core0/trig_u/te_1/secured_instance_5_171/C->top_reveal_coretop_instance/core0/trig_u/te_1/secured_instance_5_171/Z
SLICE_R34C39A CTOOF_DEL 0.280 3.429 1
top_reveal_coretop_instance/core0/trig_u/te_1/secured_signal_5_263
NET DELAY 0.309 3.738 1
top_reveal_coretop_instance/core0/trig_u/te_1/secured_instance_5_103/A->top_reveal_coretop_instance/core0/trig_u/te_1/secured_instance_5_103/Z
SLICE_R34C41C CTOF_DEL 0.214 3.952 1
top_reveal_coretop_instance/core0/trig_u/te_1/secured_signal_5_265
NET DELAY 0.163 4.115 1
top_reveal_coretop_instance/core0/trig_u/te_1/secured_instance_5_56/A->top_reveal_coretop_instance/core0/trig_u/te_1/secured_instance_5_56/Z
SLICE_R34C41A CTOF_DEL 0.214 4.329 13
top_reveal_coretop_instance/core0/trig_u/te_1/secured_signal_5_229
NET DELAY 0.604 4.933 13
top_reveal_coretop_instance/core0/trig_u/te_1/secured_instance_5_116/C->top_reveal_coretop_instance/core0/trig_u/te_1/secured_instance_5_116/Z
SLICE_R36C41C CTOF_DEL 0.214 5.147 15
top_reveal_coretop_instance/core0/trig_u/te_1/secured_signal_5_228
NET DELAY 0.550 5.697 15
top_reveal_coretop_instance/core0/trig_u/te_1/secured_instance_5_25/A->top_reveal_coretop_instance/core0/trig_u/te_1/secured_instance_5_25/Z
SLICE_R35C41D CTOF_DEL 0.214 5.911 1
top_reveal_coretop_instance/core0/trig_u/te_1/secured_signal_5_248
NET DELAY 0.277 6.188 1
top_reveal_coretop_instance/core0/trig_u/te_1/secured_instance_5_21/D->top_reveal_coretop_instance/core0/trig_u/te_1/secured_instance_5_21/Z
SLICE_R35C39D CTOF_DEL 0.214 6.402 3
top_reveal_coretop_instance/core0/trig_u/te_1/secured_signal_5_231
NET DELAY 0.523 6.925 3
top_reveal_coretop_instance/core0/trig_u/te_1/secured_instance_5_11/D->top_reveal_coretop_instance/core0/trig_u/te_1/secured_instance_5_11/Z
SLICE_R36C42B CTOF_DEL 0.214 7.139 1
top_reveal_coretop_instance/core0/trig_u/te_1/secured_signal_5_141
NET DELAY 0.000 7.139 1
top_reveal_coretop_instance/core0/trig_u/te_1/secured_instance_5_269/DF
ENDPOINT 0.000 7.139 1
Destination Clock Path
{
"path_begin":
{
"type":"pin",
"log_name":"OSCA001.OSCA_inst/HFCLKOUT",
"phy_name":"OSCA001.OSCA_inst/HFCLKOUT"
},
"path_end":
{
"type":"pin",
"log_name":"top_reveal_coretop_instance/core0/trig_u/te_1/secured_instance_5_269/CLK",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.te_1.Ibs9e948p5Lino8s[2].ff_inst/CLK"
},
"path_sections":[
{
"type":"site_delay",
"pin0":
{
"log_name":"",
"phy_name":""
},
"pin1":
{
"log_name":"",
"phy_name":""
},
"arrive":6.666,
"delay":0.000
},
{
"type":"net_delay",
"net":
{
"log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_111/secured_signal_0_140",
"phy_name":"CLK"
},
"arrive":8.878,
"delay":2.212
},
{
"type":"site_delay",
"pin0":
{
"log_name":"",
"phy_name":""
},
"pin1":
{
"log_name":"",
"phy_name":""
},
"arrive":8.878,
"delay":0.000
}
]
}
Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout
---------------------------------------- ------------------------ ---------------- --------- --------------------- ------
CONSTRAINT 0.000 6.666 1
OSCA001.OSCA_inst/HFCLKOUT OSC_CORE_OSC_CORE_R1C137 CLOCK LATENCY 0.000 6.666 342
top_reveal_coretop_instance/core0/tm_u/secured_instance_1_111/secured_signal_0_140
NET DELAY 2.212 8.878 342
top_reveal_coretop_instance/core0/trig_u/te_1/secured_instance_5_269/CLK
CLOCK PIN 0.000 8.878 1
Uncertainty -(0.000) 8.878
Common Path Skew 0.103 8.981
Setup time -(-0.058) 9.039
---------------------------------------- ------------------------ ---------------- --------- --------------------- ------
Required Time 9.039
Arrival Time -(7.138)
---------------------------------------- ------------------------ ---------------- --------- --------------------- ------
Path Slack (Passed) 1.900
++++ Path 9 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
Path Begin : top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_9_162/Q (SLICE_R38C44D)
Path End : top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_9_95/DF (SLICE_R34C45B)
Source Clock : CLK (R)
Destination Clock: CLK (R)
Logic Level : 7
Delay Ratio : 59.8% (route), 40.2% (logic)
Clock Skew : -0.130 ns
Setup Constraint : 6.666 ns
Common Path Skew : 0.027 ns
Path Slack : 1.943 ns (Passed)
Source Clock Path
{
"path_begin":
{
"type":"pin",
"log_name":"OSCA001.OSCA_inst/HFCLKOUT",
"phy_name":"OSCA001.OSCA_inst/HFCLKOUT"
},
"path_end":
{
"type":"pin",
"log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_9_162/CLK",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.IdqzHCkaae4atgf2l52v7bjIdckyy6Dba/CLK"
},
"path_sections":[
{
"type":"net_delay",
"net":
{
"log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_111/secured_signal_0_140",
"phy_name":"CLK"
},
"arrive":2.342,
"delay":2.342
},
{
"type":"site_delay",
"pin0":
{
"log_name":"",
"phy_name":""
},
"pin1":
{
"log_name":"",
"phy_name":""
},
"arrive":2.342,
"delay":0.000
}
]
}
Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout
---------------------------------------- ------------------------ ---------------- --------- --------------------- ------
OSCA001.OSCA_inst/HFCLKOUT OSC_CORE_OSC_CORE_R1C137 CLOCK LATENCY 0.000 0.000 342
top_reveal_coretop_instance/core0/tm_u/secured_instance_1_111/secured_signal_0_140
NET DELAY 2.342 2.342 342
{top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_9_162/CLK top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_9_161/CLK}
CLOCK PIN 0.000 2.342 1
Data Path
{
"path_begin":
{
"type":"pin",
"log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_9_162/Q",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.IdqzHCkaae4atgf2l52v7bjIdckyy6Dba/Q0"
},
"path_end":
{
"type":"pin",
"log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_9_95/DF",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.Ie0fvlc4DA.ff_inst/DI0"
},
"path_sections":[
{
"type":"site_delay",
"pin0":
{
"log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_9_162/CLK",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.IdqzHCkaae4atgf2l52v7bjIdckyy6Dba/CLK"
},
"pin1":
{
"log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_9_162/Q",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.IdqzHCkaae4atgf2l52v7bjIdckyy6Dba/Q0"
},
"arrive":2.648,
"delay":0.306
},
{
"type":"net_delay",
"net":
{
"log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_signal_9_93",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.NwKq1FBmzsxu1ADHBnhjJ[15]"
},
"arrive":3.293,
"delay":0.645
},
{
"type":"site_delay",
"pin0":
{
"log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_9_73/A",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.IdqzHCkaae4atgf2l52v7bjIdckyy6Dba/C1"
},
"pin1":
{
"log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_9_73/Z",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.IdqzHCkaae4atgf2l52v7bjIdckyy6Dba/F1"
},
"arrive":3.507,
"delay":0.214
},
{
"type":"net_delay",
"net":
{
"log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_signal_9_198",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.NjzhBHr2GnijAwxbFvzrE3K3ECr"
},
"arrive":3.798,
"delay":0.291
},
{
"type":"site_delay",
"pin0":
{
"log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_9_74/D",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.IdqzHCkaae4atgf2l52v7bjIdckyy6Dba/B0"
},
"pin1":
{
"log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_9_74/Z",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.IdqzHCkaae4atgf2l52v7bjIdckyy6Dba/F0"
},
"arrive":4.012,
"delay":0.214
},
{
"type":"net_delay",
"net":
{
"log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_signal_9_159",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.Nf4H8HwGahC46npu56pa29b9rg99r5"
},
"arrive":4.747,
"delay":0.735
},
{
"type":"site_delay",
"pin0":
{
"log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_9_75/A0",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.IqdwgKi79qkEwzIGgJpAaDba/A0"
},
"pin1":
{
"log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_9_75/S1",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.IqdwgKi79qkEwzIGgJpAaDba/F1"
},
"arrive":5.183,
"delay":0.436
},
{
"type":"net_delay",
"net":
{
"log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_signal_9_130",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.NbcCj0hnGbwlAcxGFJcDfs1p4kmCr"
},
"arrive":5.730,
"delay":0.547
},
{
"type":"site_delay",
"pin0":
{
"log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_9_52/B",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.Ipfam7xdGoKjHfkmkJtvyczIdgq1CCkF2i[2]/C1"
},
"pin1":
{
"log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_9_52/Z",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.Ipfam7xdGoKjHfkmkJtvyczIdgq1CCkF2i[2]/F1"
},
"arrive":5.944,
"delay":0.214
},
{
"type":"net_delay",
"net":
{
"log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_signal_9_163",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.Ne1IDB4vm9"
},
"arrive":6.116,
"delay":0.172
},
{
"type":"site_delay",
"pin0":
{
"log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_9_90/D",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.ImmjHJuzggHxba/A1"
},
"pin1":
{
"log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_9_90/Z",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.ImmjHJuzggHxba/OFX0"
},
"arrive":6.397,
"delay":0.281
},
{
"type":"net_delay",
"net":
{
"log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_signal_9_165",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.NKvlC"
},
"arrive":6.806,
"delay":0.409
},
{
"type":"site_delay",
"pin0":
{
"log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_9_58/B",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.Ie0fvlc4DA.ff_inst/D0"
},
"pin1":
{
"log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_9_58/Z",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.Ie0fvlc4DA.ff_inst/F0"
},
"arrive":7.020,
"delay":0.214
},
{
"type":"net_delay",
"net":
{
"log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_signal_9_150",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.Ncd"
},
"arrive":7.020,
"delay":0.000
},
{
"type":"site_delay",
"pin0":
{
"log_name":"",
"phy_name":""
},
"pin1":
{
"log_name":"",
"phy_name":""
},
"arrive":7.020,
"delay":0.000
}
]
}
Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout
---------------------------------------- ------------------------ ---------------- --------- --------------------- ------
top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_9_162/CLK->top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_9_162/Q
SLICE_R38C44D REG_DEL 0.306 2.648 3
top_reveal_coretop_instance/core0/trig_u/tu_3/secured_signal_9_93
NET DELAY 0.645 3.293 3
top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_9_73/A->top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_9_73/Z
SLICE_R38C44D CTOF_DEL 0.214 3.507 1
top_reveal_coretop_instance/core0/trig_u/tu_3/secured_signal_9_198
NET DELAY 0.291 3.798 1
top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_9_74/D->top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_9_74/Z
SLICE_R38C44D CTOF_DEL 0.214 4.012 1
top_reveal_coretop_instance/core0/trig_u/tu_3/secured_signal_9_159
NET DELAY 0.735 4.747 1
top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_9_75/A0->top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_9_75/S1
SLICE_R41C54A CTOF_DEL 0.436 5.183 3
top_reveal_coretop_instance/core0/trig_u/tu_3/secured_signal_9_130
NET DELAY 0.547 5.730 3
top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_9_52/B->top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_9_52/Z
SLICE_R39C45D CTOF_DEL 0.214 5.944 1
top_reveal_coretop_instance/core0/trig_u/tu_3/secured_signal_9_163
NET DELAY 0.172 6.116 1
top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_9_90/D->top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_9_90/Z
SLICE_R39C45C CTOOF_DEL 0.281 6.397 1
top_reveal_coretop_instance/core0/trig_u/tu_3/secured_signal_9_165
NET DELAY 0.409 6.806 1
top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_9_58/B->top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_9_58/Z
SLICE_R34C45B CTOF_DEL 0.214 7.020 1
top_reveal_coretop_instance/core0/trig_u/tu_3/secured_signal_9_150
NET DELAY 0.000 7.020 1
top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_9_95/DF
ENDPOINT 0.000 7.020 1
Destination Clock Path
{
"path_begin":
{
"type":"pin",
"log_name":"OSCA001.OSCA_inst/HFCLKOUT",
"phy_name":"OSCA001.OSCA_inst/HFCLKOUT"
},
"path_end":
{
"type":"pin",
"log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_9_95/CLK",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.Ie0fvlc4DA.ff_inst/CLK"
},
"path_sections":[
{
"type":"site_delay",
"pin0":
{
"log_name":"",
"phy_name":""
},
"pin1":
{
"log_name":"",
"phy_name":""
},
"arrive":6.666,
"delay":0.000
},
{
"type":"net_delay",
"net":
{
"log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_111/secured_signal_0_140",
"phy_name":"CLK"
},
"arrive":8.878,
"delay":2.212
},
{
"type":"site_delay",
"pin0":
{
"log_name":"",
"phy_name":""
},
"pin1":
{
"log_name":"",
"phy_name":""
},
"arrive":8.878,
"delay":0.000
}
]
}
Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout
---------------------------------------- ------------------------ ---------------- --------- --------------------- ------
CONSTRAINT 0.000 6.666 1
OSCA001.OSCA_inst/HFCLKOUT OSC_CORE_OSC_CORE_R1C137 CLOCK LATENCY 0.000 6.666 342
top_reveal_coretop_instance/core0/tm_u/secured_instance_1_111/secured_signal_0_140
NET DELAY 2.212 8.878 342
{top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_9_95/CLK top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_10_95/CLK}
CLOCK PIN 0.000 8.878 1
Uncertainty -(0.000) 8.878
Common Path Skew 0.027 8.905
Setup time -(-0.058) 8.963
---------------------------------------- ------------------------ ---------------- --------- --------------------- ------
Required Time 8.963
Arrival Time -(7.019)
---------------------------------------- ------------------------ ---------------- --------- --------------------- ------
Path Slack (Passed) 1.943
++++ Path 10 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
Path Begin : top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_475/Q (SLICE_R43C39B)
Path End : {top_reveal_coretop_instance/core0/trig_u/te_1/secured_instance_5_250/CE top_reveal_coretop_instance/core0/trig_u/te_1/secured_instance_5_259/CE} (SLICE_R35C42D)
Source Clock : CLK (R)
Destination Clock: CLK (R)
Logic Level : 8
Delay Ratio : 58.9% (route), 41.1% (logic)
Clock Skew : -0.130 ns
Setup Constraint : 6.666 ns
Common Path Skew : 0.027 ns
Path Slack : 1.976 ns (Passed)
Source Clock Path
{
"path_begin":
{
"type":"pin",
"log_name":"OSCA001.OSCA_inst/HFCLKOUT",
"phy_name":"OSCA001.OSCA_inst/HFCLKOUT"
},
"path_end":
{
"type":"pin",
"log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_474/CLK",
"phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.IFIzFfl7/CLK"
},
"path_sections":[
{
"type":"net_delay",
"net":
{
"log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_111/secured_signal_0_140",
"phy_name":"CLK"
},
"arrive":2.342,
"delay":2.342
},
{
"type":"site_delay",
"pin0":
{
"log_name":"",
"phy_name":""
},
"pin1":
{
"log_name":"",
"phy_name":""
},
"arrive":2.342,
"delay":0.000
}
]
}
Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout
---------------------------------------- ------------------------ ---------------- -------- --------------------- ------
OSCA001.OSCA_inst/HFCLKOUT OSC_CORE_OSC_CORE_R1C137 CLOCK LATENCY 0.000 0.000 342
top_reveal_coretop_instance/core0/tm_u/secured_instance_1_111/secured_signal_0_140
NET DELAY 2.342 2.342 342
{top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_474/CLK top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_475/CLK}
CLOCK PIN 0.000 2.342 1
Data Path
{
"path_begin":
{
"type":"pin",
"log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_475/Q",
"phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.IFIzFfl7/Q1"
},
"path_end":
{
"type":"pin",
"log_name":"{top_reveal_coretop_instance/core0/trig_u/te_1/secured_instance_5_250/CE top_reveal_coretop_instance/core0/trig_u/te_1/secured_instance_5_259/CE}",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.te_0.Igkires9KlvGay1twy0arLFqw5G7rDhHILCwqk2F/CE"
},
"path_sections":[
{
"type":"site_delay",
"pin0":
{
"log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_475/CLK",
"phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.IFIzFfl7/CLK"
},
"pin1":
{
"log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_475/Q",
"phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.IFIzFfl7/Q1"
},
"arrive":2.651,
"delay":0.309
},
{
"type":"net_delay",
"net":
{
"log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_signal_2_162",
"phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.Ne3nFILyd5q303pD9C8l[3]"
},
"arrive":2.855,
"delay":0.204
},
{
"type":"site_delay",
"pin0":
{
"log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_227/B",
"phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.IFIzFfl7/D0"
},
"pin1":
{
"log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_227/Z",
"phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.IFIzFfl7/F0"
},
"arrive":3.069,
"delay":0.214
},
{
"type":"net_delay",
"net":
{
"log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_signal_2_573",
"phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.NFIzFfl7"
},
"arrive":3.232,
"delay":0.163
},
{
"type":"site_delay",
"pin0":
{
"log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_204/D",
"phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.IbwAh4/C1"
},
"pin1":
{
"log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_204/Z",
"phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.IbwAh4/F1"
},
"arrive":3.446,
"delay":0.214
},
{
"type":"net_delay",
"net":
{
"log_name":"top_reveal_coretop_instance/core0/tm_u/secured_signal_1_158",
"phy_name":"top_reveal_coretop_instance.core0.wen"
},
"arrive":3.684,
"delay":0.238
},
{
"type":"site_delay",
"pin0":
{
"log_name":"top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_13_13/C",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.decode_u.Ifii7B9Hs8lzeEzEerH8[1]/D1"
},
"pin1":
{
"log_name":"top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_13_13/Z",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.decode_u.Ifii7B9Hs8lzeEzEerH8[1]/F1"
},
"arrive":3.898,
"delay":0.214
},
{
"type":"net_delay",
"net":
{
"log_name":"top_reveal_coretop_instance/core0/trig_u/decode_u/secured_signal_13_26",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.decode_u.Nfh7o18"
},
"arrive":4.057,
"delay":0.159
},
{
"type":"site_delay",
"pin0":
{
"log_name":"top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_13_2/A",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.decode_u.Ifii7B9HtdLGHq8BId7c[0]/B1"
},
"pin1":
{
"log_name":"top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_13_2/Z",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.decode_u.Ifii7B9HtdLGHq8BId7c[0]/F1"
},
"arrive":4.271,
"delay":0.214
},
{
"type":"net_delay",
"net":
{
"log_name":"top_reveal_coretop_instance/core0/trig_u/decode_u/secured_signal_13_28",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.decode_u.Nfh7o1A"
},
"arrive":4.582,
"delay":0.311
},
{
"type":"site_delay",
"pin0":
{
"log_name":"top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_13_0/B",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.te_1.IftBDimCLevkJyxK8tFs1aelebzCGdGrfrz8djx4/B1"
},
"pin1":
{
"log_name":"top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_13_0/Z",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.te_1.IftBDimCLevkJyxK8tFs1aelebzCGdGrfrz8djx4/F1"
},
"arrive":4.796,
"delay":0.214
},
{
"type":"net_delay",
"net":
{
"log_name":"top_reveal_coretop_instance/core0/trig_u/te_1/secured_signal_5_38",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.wen_te[1]"
},
"arrive":5.088,
"delay":0.292
},
{
"type":"site_delay",
"pin0":
{
"log_name":"top_reveal_coretop_instance/core0/trig_u/te_1/secured_instance_5_97/B",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.te_1.I6b9Frxl43wK3abb4rbja8iDcJ23m29Ay8v7sCgH9pJpr0xwcml/A1"
},
"pin1":
{
"log_name":"top_reveal_coretop_instance/core0/trig_u/te_1/secured_instance_5_97/Z",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.te_1.I6b9Frxl43wK3abb4rbja8iDcJ23m29Ay8v7sCgH9pJpr0xwcml/F1"
},
"arrive":5.302,
"delay":0.214
},
{
"type":"net_delay",
"net":
{
"log_name":"top_reveal_coretop_instance/core0/trig_u/te_1/secured_signal_5_237",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.te_1.NhmBleLHjE3eHqD8o"
},
"arrive":5.707,
"delay":0.405
},
{
"type":"site_delay",
"pin0":
{
"log_name":"top_reveal_coretop_instance/core0/trig_u/te_1/secured_instance_5_82/D",
"phy_name":"top_reveal_coretop_instance.core0.tm_u.Ikomq88cs6dK8kee74AF1gyluGyv9zg6nDrws[0]/A1"
},
"pin1":
{
"log_name":"top_reveal_coretop_instance/core0/trig_u/te_1/secured_instance_5_82/Z",
"phy_name":"top_reveal_coretop_instance.core0.tm_u.Ikomq88cs6dK8kee74AF1gyluGyv9zg6nDrws[0]/F1"
},
"arrive":5.921,
"delay":0.214
},
{
"type":"net_delay",
"net":
{
"log_name":"top_reveal_coretop_instance/core0/trig_u/te_1/secured_signal_5_212",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.te_1.NHG50HH61gwvaf2n3u9E67E2qllB"
},
"arrive":6.735,
"delay":0.814
},
{
"type":"site_delay",
"pin0":
{
"log_name":"",
"phy_name":""
},
"pin1":
{
"log_name":"",
"phy_name":""
},
"arrive":6.735,
"delay":0.000
}
]
}
Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout
---------------------------------------- ------------------------ ---------------- -------- --------------------- ------
top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_475/CLK->top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_475/Q
SLICE_R43C39B REG_DEL 0.309 2.651 4
top_reveal_coretop_instance/core0/jtag_int_u/secured_signal_2_162
NET DELAY 0.204 2.855 4
top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_227/B->top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_227/Z
SLICE_R43C39B CTOF_DEL 0.214 3.069 1
top_reveal_coretop_instance/core0/jtag_int_u/secured_signal_2_573
NET DELAY 0.163 3.232 1
top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_204/D->top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_204/Z
SLICE_R43C39D CTOF_DEL 0.214 3.446 7
top_reveal_coretop_instance/core0/tm_u/secured_signal_1_158
NET DELAY 0.238 3.684 7
top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_13_13/C->top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_13_13/Z
SLICE_R41C39A CTOF_DEL 0.214 3.898 3
top_reveal_coretop_instance/core0/trig_u/decode_u/secured_signal_13_26
NET DELAY 0.159 4.057 3
top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_13_2/A->top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_13_2/Z
SLICE_R41C39B CTOF_DEL 0.214 4.271 4
top_reveal_coretop_instance/core0/trig_u/decode_u/secured_signal_13_28
NET DELAY 0.311 4.582 4
top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_13_0/B->top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_13_0/Z
SLICE_R41C41D CTOF_DEL 0.214 4.796 5
top_reveal_coretop_instance/core0/trig_u/te_1/secured_signal_5_38
NET DELAY 0.292 5.088 5
top_reveal_coretop_instance/core0/trig_u/te_1/secured_instance_5_97/B->top_reveal_coretop_instance/core0/trig_u/te_1/secured_instance_5_97/Z
SLICE_R41C42D CTOF_DEL 0.214 5.302 8
top_reveal_coretop_instance/core0/trig_u/te_1/secured_signal_5_237
NET DELAY 0.405 5.707 8
top_reveal_coretop_instance/core0/trig_u/te_1/secured_instance_5_82/D->top_reveal_coretop_instance/core0/trig_u/te_1/secured_instance_5_82/Z
SLICE_R42C43B CTOF_DEL 0.214 5.921 2
top_reveal_coretop_instance/core0/trig_u/te_1/secured_signal_5_212
NET DELAY 0.814 6.735 2
{top_reveal_coretop_instance/core0/trig_u/te_1/secured_instance_5_250/CE top_reveal_coretop_instance/core0/trig_u/te_1/secured_instance_5_259/CE}
ENDPOINT 0.000 6.735 1
Destination Clock Path
{
"path_begin":
{
"type":"pin",
"log_name":"OSCA001.OSCA_inst/HFCLKOUT",
"phy_name":"OSCA001.OSCA_inst/HFCLKOUT"
},
"path_end":
{
"type":"pin",
"log_name":"top_reveal_coretop_instance/core0/trig_u/te_1/secured_instance_5_250/CLK",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.te_0.Igkires9KlvGay1twy0arLFqw5G7rDhHILCwqk2F/CLK"
},
"path_sections":[
{
"type":"site_delay",
"pin0":
{
"log_name":"",
"phy_name":""
},
"pin1":
{
"log_name":"",
"phy_name":""
},
"arrive":6.666,
"delay":0.000
},
{
"type":"net_delay",
"net":
{
"log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_111/secured_signal_0_140",
"phy_name":"CLK"
},
"arrive":8.878,
"delay":2.212
},
{
"type":"site_delay",
"pin0":
{
"log_name":"",
"phy_name":""
},
"pin1":
{
"log_name":"",
"phy_name":""
},
"arrive":8.878,
"delay":0.000
}
]
}
Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout
---------------------------------------- ------------------------ ---------------- -------- --------------------- ------
CONSTRAINT 0.000 6.666 1
OSCA001.OSCA_inst/HFCLKOUT OSC_CORE_OSC_CORE_R1C137 CLOCK LATENCY 0.000 6.666 342
top_reveal_coretop_instance/core0/tm_u/secured_instance_1_111/secured_signal_0_140
NET DELAY 2.212 8.878 342
{top_reveal_coretop_instance/core0/trig_u/te_1/secured_instance_5_250/CLK top_reveal_coretop_instance/core0/trig_u/te_1/secured_instance_5_259/CLK}
CLOCK PIN 0.000 8.878 1
Uncertainty -(0.000) 8.878
Common Path Skew 0.027 8.905
Setup time -(0.194) 8.711
---------------------------------------- ------------------------ ---------------- -------- --------------------- ------
Required Time 8.711
Arrival Time -(6.734)
---------------------------------------- ------------------------ ---------------- -------- --------------------- ------
Path Slack (Passed) 1.976
+++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
End of Detailed Report for timing paths
+++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
##########################################################
3 Setup at Speed Grade 9_High-Performance_1.0V Corner at -40 Degrees
3.1 Clock Summary
3.1.1 Clock "CLK"
create_clock -name {CLK} -period 6.66667 [get_pins {OSCA001.OSCA_inst/HFCLKOUT }]
Single Clock Domain
-------------------------------------------------------------------------------------------------------
Clock CLK | | Period | Frequency
-------------------------------------------------------------------------------------------------------
From CLK | Target | 6.667 ns | 150.000 MHz
| Actual (all paths) | 5.697 ns | 175.531 MHz
OSCA001.OSCA_inst/HFCLKOUT (MPW) | (50% duty cycle) | 4.358 ns | 229.463 MHz
-------------------------------------------------------------------------------------------------------
Clock Domain Crossing
------------------------------------------------------------------------------------------------------
Clock CLK | Worst Time Between Edges | Comment
------------------------------------------------------------------------------------------------------
From rvltck | ---- | False path
From rvjtck | ---- | False path
------------------------------------------------------------------------------------------------------
3.1.2 Clock "rvltck"
create_clock -name {rvltck} -period 33.33 [get_ports TCK]
Single Clock Domain
-------------------------------------------------------------------------------------------------------
Clock rvltck | | Period | Frequency
-------------------------------------------------------------------------------------------------------
From rvltck | Target | 33.330 ns | 30.003 MHz
| Actual (all paths) | 5.000 ns | 200.000 MHz
jtaghub_inst/IB_inst2.bb_inst/B (MPW) | (50% duty cycle) | 5.000 ns | 200.000 MHz
-------------------------------------------------------------------------------------------------------
Clock Domain Crossing
------------------------------------------------------------------------------------------------------
Clock rvltck | Worst Time Between Edges | Comment
------------------------------------------------------------------------------------------------------
From CLK | ---- | False path
From rvjtck | ---- | False path
------------------------------------------------------------------------------------------------------
3.1.3 Clock "rvjtck"
create_generated_clock -name {rvjtck} -source [get_ports TCK] [get_nets jtck]
Single Clock Domain
-------------------------------------------------------------------------------------------------------
Clock rvjtck | | Period | Frequency
-------------------------------------------------------------------------------------------------------
From rvjtck | Target | 33.330 ns | 30.003 MHz
| Actual (all paths) | 2.018 ns | 495.540 MHz
{top_reveal_coretop_instance/core0/tm_u/secured_instance_1_111/secured_instance_0_69/CLK top_reveal_coretop_instance/core0/tm_u/secured_instance_1_111/secured_instance_0_67/CLK} (MPW)
| (50% duty cycle) | 2.018 ns | 495.540 MHz
-------------------------------------------------------------------------------------------------------
Clock Domain Crossing
------------------------------------------------------------------------------------------------------
Clock rvjtck | Worst Time Between Edges | Comment
------------------------------------------------------------------------------------------------------
From CLK | ---- | False path
From rvltck | ---- | False path
------------------------------------------------------------------------------------------------------
3.2 Endpoint slacks
-------------------------------------------------------
Listing 10 End Points | Slack
-------------------------------------------------------
LED4_0io.PIC_inst/D | 0.970 ns
LED3_0io.PIC_inst/D | 1.004 ns
LED1_0io.PIC_inst/D | 1.070 ns
CE001/DCC01/CE | 1.556 ns
LED2_0io.PIC_inst/D | 1.858 ns
top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_8_95/DF
| 1.872 ns
top_reveal_coretop_instance/core0/trig_u/te_1/secured_instance_5_251/DF
| 2.011 ns
top_reveal_coretop_instance/core0/trig_u/te_1/secured_instance_5_269/DF
| 2.090 ns
{top_reveal_coretop_instance/core0/trig_u/te_1/secured_instance_5_250/CE top_reveal_coretop_instance/core0/trig_u/te_1/secured_instance_5_259/CE}
| 2.101 ns
top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_9_95/DF
| 2.059 ns
-------------------------------------------------------
|
Setup # of endpoints with negative slack:| 0
|
-------------------------------------------------------
3.3 Detailed Report
XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX
Detail report of critical paths
XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX
+++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
Detailed Report for timing paths
+++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
++++Path 1 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
Path Begin : CNT04/Couti[14].ff_inst/Q (SLICE_R44C149D)
Path End : LED4_0io.PIC_inst/D (SIOLOGIC_CORE_IOL_R31B)
Source Clock : CLK (R)
Destination Clock: CLK (R)
Logic Level : 2
Delay Ratio : 90.3% (route), 9.7% (logic)
Clock Skew : -0.101 ns
Setup Constraint : 6.666 ns
Common Path Skew : 0.032 ns
Path Slack : 0.969 ns (Passed)
Source Clock Path
{
"path_begin":
{
"type":"pin",
"log_name":"OSCA001.OSCA_inst/HFCLKOUT",
"phy_name":"OSCA001.OSCA_inst/HFCLKOUT"
},
"path_end":
{
"type":"pin",
"log_name":"CNT04/Couti[13].ff_inst/CLK",
"phy_name":"CNT04.Couti_cry_0[13]/CLK"
},
"path_sections":[
{
"type":"net_delay",
"net":
{
"log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_111/secured_signal_0_140",
"phy_name":"CLK"
},
"arrive":0.393,
"delay":0.393
},
{
"type":"site_delay",
"pin0":
{
"log_name":"CE001/DCC01/CLKI",
"phy_name":"CE001.DCC01/CLKI"
},
"pin1":
{
"log_name":"CE001/DCC01/CLKO",
"phy_name":"CE001.DCC01/CLKO"
},
"arrive":0.393,
"delay":0.000
},
{
"type":"net_delay",
"net":
{
"log_name":"CE001/CLK1",
"phy_name":"CLK1"
},
"arrive":2.654,
"delay":2.261
},
{
"type":"site_delay",
"pin0":
{
"log_name":"",
"phy_name":""
},
"pin1":
{
"log_name":"",
"phy_name":""
},
"arrive":2.654,
"delay":0.000
}
]
}
Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout
---------------------------------------- ------------------------ ---------------- -------- --------------------- ------
OSCA001.OSCA_inst/HFCLKOUT OSC_CORE_OSC_CORE_R1C137 CLOCK LATENCY 0.000 0.000 343
top_reveal_coretop_instance/core0/tm_u/secured_instance_1_111/secured_signal_0_140
NET DELAY 0.393 0.393 343
CE001/DCC01/CLKI->CE001/DCC01/CLKO DCC_DCC_R1 DCC_DEL 0.000 0.393 40
CE001/CLK1 NET DELAY 2.261 2.654 40
{CNT04/Couti[13].ff_inst/CLK CNT04/Couti[14].ff_inst/CLK}
CLOCK PIN 0.000 2.654 1
Data Path
{
"path_begin":
{
"type":"pin",
"log_name":"CNT04/Couti[14].ff_inst/Q",
"phy_name":"CNT04.Couti_cry_0[13]/Q1"
},
"path_end":
{
"type":"pin",
"log_name":"LED4_0io.PIC_inst/D",
"phy_name":"LED4_pad.bb_inst_IOL/TXDATA0"
},
"path_sections":[
{
"type":"site_delay",
"pin0":
{
"log_name":"CNT04/Couti[14].ff_inst/CLK",
"phy_name":"CNT04.Couti_cry_0[13]/CLK"
},
"pin1":
{
"log_name":"CNT04/Couti[14].ff_inst/Q",
"phy_name":"CNT04.Couti_cry_0[13]/Q1"
},
"arrive":2.956,
"delay":0.302
},
{
"type":"net_delay",
"net":
{
"log_name":"top_reveal_coretop_instance/core0/tm_u/secured_signal_1_75",
"phy_name":"CNT4[14]"
},
"arrive":5.147,
"delay":2.191
},
{
"type":"site_delay",
"pin0":
{
"log_name":"LED4_1_cZ/B",
"phy_name":"LED4_1_cZ/B0"
},
"pin1":
{
"log_name":"LED4_1_cZ/Z",
"phy_name":"LED4_1_cZ/F0"
},
"arrive":5.358,
"delay":0.211
},
{
"type":"net_delay",
"net":
{
"log_name":"LED4_1",
"phy_name":"LED4_1"
},
"arrive":7.945,
"delay":2.587
},
{
"type":"site_delay",
"pin0":
{
"log_name":"",
"phy_name":""
},
"pin1":
{
"log_name":"",
"phy_name":""
},
"arrive":7.945,
"delay":0.000
}
]
}
Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout
---------------------------------------- ------------------------ ---------------- -------- --------------------- ------
CNT04/Couti[14].ff_inst/CLK->CNT04/Couti[14].ff_inst/Q
SLICE_R44C149D REG_DEL 0.302 2.956 4
top_reveal_coretop_instance/core0/tm_u/secured_signal_1_75
NET DELAY 2.191 5.147 4
LED4_1_cZ/B->LED4_1_cZ/Z SLICE_R43C73D CTOF_DEL 0.211 5.358 1
LED4_1 NET DELAY 2.587 7.945 1
LED4_0io.PIC_inst/D ENDPOINT 0.000 7.945 1
Destination Clock Path
{
"path_begin":
{
"type":"pin",
"log_name":"OSCA001.OSCA_inst/HFCLKOUT",
"phy_name":"OSCA001.OSCA_inst/HFCLKOUT"
},
"path_end":
{
"type":"pin",
"log_name":"LED4_0io.PIC_inst/CLK",
"phy_name":"LED4_pad.bb_inst_IOL/SCLKOUT"
},
"path_sections":[
{
"type":"site_delay",
"pin0":
{
"log_name":"",
"phy_name":""
},
"pin1":
{
"log_name":"",
"phy_name":""
},
"arrive":6.666,
"delay":0.000
},
{
"type":"net_delay",
"net":
{
"log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_111/secured_signal_0_140",
"phy_name":"CLK"
},
"arrive":7.027,
"delay":0.361
},
{
"type":"site_delay",
"pin0":
{
"log_name":"CE001/DCC01/CLKI",
"phy_name":"CE001.DCC01/CLKI"
},
"pin1":
{
"log_name":"CE001/DCC01/CLKO",
"phy_name":"CE001.DCC01/CLKO"
},
"arrive":7.027,
"delay":0.000
},
{
"type":"net_delay",
"net":
{
"log_name":"CE001/CLK1",
"phy_name":"CLK1"
},
"arrive":9.219,
"delay":2.192
},
{
"type":"site_delay",
"pin0":
{
"log_name":"",
"phy_name":""
},
"pin1":
{
"log_name":"",
"phy_name":""
},
"arrive":9.219,
"delay":0.000
}
]
}
Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout
---------------------------------------- ------------------------ ---------------- -------- --------------------- ------
CONSTRAINT 0.000 6.666 1
OSCA001.OSCA_inst/HFCLKOUT OSC_CORE_OSC_CORE_R1C137 CLOCK LATENCY 0.000 6.666 343
top_reveal_coretop_instance/core0/tm_u/secured_instance_1_111/secured_signal_0_140
NET DELAY 0.361 7.027 343
CE001/DCC01/CLKI->CE001/DCC01/CLKO DCC_DCC_R1 DCC_DEL 0.000 7.027 40
CE001/CLK1 NET DELAY 2.192 9.219 40
LED4_0io.PIC_inst/CLK CLOCK PIN 0.000 9.219 1
Uncertainty -(0.000) 9.219
Common Path Skew 0.032 9.251
Setup time -(0.337) 8.914
---------------------------------------- ------------------------ ---------------- -------- --------------------- ------
Required Time 8.914
Arrival Time -(7.944)
---------------------------------------- ------------------------ ---------------- -------- --------------------- ------
Path Slack (Passed) 0.969
++++ Path 2 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
Path Begin : CNT03/Couti[13].ff_inst/Q (SLICE_R60C10D)
Path End : LED3_0io.PIC_inst/D (SIOLOGIC_CORE_IOL_R31A)
Source Clock : CLK (R)
Destination Clock: CLK (R)
Logic Level : 2
Delay Ratio : 90.3% (route), 9.7% (logic)
Clock Skew : -0.101 ns
Setup Constraint : 6.666 ns
Common Path Skew : 0.032 ns
Path Slack : 1.003 ns (Passed)
Source Clock Path
{
"path_begin":
{
"type":"pin",
"log_name":"OSCA001.OSCA_inst/HFCLKOUT",
"phy_name":"OSCA001.OSCA_inst/HFCLKOUT"
},
"path_end":
{
"type":"pin",
"log_name":"CNT03/Couti[13].ff_inst/CLK",
"phy_name":"CNT03.Couti_cry_0[13]/CLK"
},
"path_sections":[
{
"type":"net_delay",
"net":
{
"log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_111/secured_signal_0_140",
"phy_name":"CLK"
},
"arrive":0.393,
"delay":0.393
},
{
"type":"site_delay",
"pin0":
{
"log_name":"CE001/DCC01/CLKI",
"phy_name":"CE001.DCC01/CLKI"
},
"pin1":
{
"log_name":"CE001/DCC01/CLKO",
"phy_name":"CE001.DCC01/CLKO"
},
"arrive":0.393,
"delay":0.000
},
{
"type":"net_delay",
"net":
{
"log_name":"CE001/CLK1",
"phy_name":"CLK1"
},
"arrive":2.654,
"delay":2.261
},
{
"type":"site_delay",
"pin0":
{
"log_name":"",
"phy_name":""
},
"pin1":
{
"log_name":"",
"phy_name":""
},
"arrive":2.654,
"delay":0.000
}
]
}
Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout
---------------------------------------- ------------------------ ---------------- -------- --------------------- ------
OSCA001.OSCA_inst/HFCLKOUT OSC_CORE_OSC_CORE_R1C137 CLOCK LATENCY 0.000 0.000 343
top_reveal_coretop_instance/core0/tm_u/secured_instance_1_111/secured_signal_0_140
NET DELAY 0.393 0.393 343
CE001/DCC01/CLKI->CE001/DCC01/CLKO DCC_DCC_R1 DCC_DEL 0.000 0.393 40
CE001/CLK1 NET DELAY 2.261 2.654 40
{CNT03/Couti[13].ff_inst/CLK CNT03/Couti[14].ff_inst/CLK}
CLOCK PIN 0.000 2.654 1
Data Path
{
"path_begin":
{
"type":"pin",
"log_name":"CNT03/Couti[13].ff_inst/Q",
"phy_name":"CNT03.Couti_cry_0[13]/Q0"
},
"path_end":
{
"type":"pin",
"log_name":"LED3_0io.PIC_inst/D",
"phy_name":"LED3_pad.bb_inst_IOL/TXDATA0"
},
"path_sections":[
{
"type":"site_delay",
"pin0":
{
"log_name":"CNT03/Couti[13].ff_inst/CLK",
"phy_name":"CNT03.Couti_cry_0[13]/CLK"
},
"pin1":
{
"log_name":"CNT03/Couti[13].ff_inst/Q",
"phy_name":"CNT03.Couti_cry_0[13]/Q0"
},
"arrive":2.955,
"delay":0.301
},
{
"type":"net_delay",
"net":
{
"log_name":"top_reveal_coretop_instance/core0/tm_u/secured_signal_1_60",
"phy_name":"CNT3[13]"
},
"arrive":4.742,
"delay":1.787
},
{
"type":"site_delay",
"pin0":
{
"log_name":"LED3_1_cZ/B",
"phy_name":"LED2_1_cZ/B1"
},
"pin1":
{
"log_name":"LED3_1_cZ/Z",
"phy_name":"LED2_1_cZ/F1"
},
"arrive":4.953,
"delay":0.211
},
{
"type":"net_delay",
"net":
{
"log_name":"LED3_1",
"phy_name":"LED3_1"
},
"arrive":7.911,
"delay":2.958
},
{
"type":"site_delay",
"pin0":
{
"log_name":"",
"phy_name":""
},
"pin1":
{
"log_name":"",
"phy_name":""
},
"arrive":7.911,
"delay":0.000
}
]
}
Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout
---------------------------------------- ------------------------ ---------------- -------- --------------------- ------
CNT03/Couti[13].ff_inst/CLK->CNT03/Couti[13].ff_inst/Q
SLICE_R60C10D REG_DEL 0.301 2.955 4
top_reveal_coretop_instance/core0/tm_u/secured_signal_1_60
NET DELAY 1.787 4.742 4
LED3_1_cZ/B->LED3_1_cZ/Z SLICE_R36C45C CTOF_DEL 0.211 4.953 1
LED3_1 NET DELAY 2.958 7.911 1
LED3_0io.PIC_inst/D ENDPOINT 0.000 7.911 1
Destination Clock Path
{
"path_begin":
{
"type":"pin",
"log_name":"OSCA001.OSCA_inst/HFCLKOUT",
"phy_name":"OSCA001.OSCA_inst/HFCLKOUT"
},
"path_end":
{
"type":"pin",
"log_name":"LED3_0io.PIC_inst/CLK",
"phy_name":"LED3_pad.bb_inst_IOL/SCLKOUT"
},
"path_sections":[
{
"type":"site_delay",
"pin0":
{
"log_name":"",
"phy_name":""
},
"pin1":
{
"log_name":"",
"phy_name":""
},
"arrive":6.666,
"delay":0.000
},
{
"type":"net_delay",
"net":
{
"log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_111/secured_signal_0_140",
"phy_name":"CLK"
},
"arrive":7.027,
"delay":0.361
},
{
"type":"site_delay",
"pin0":
{
"log_name":"CE001/DCC01/CLKI",
"phy_name":"CE001.DCC01/CLKI"
},
"pin1":
{
"log_name":"CE001/DCC01/CLKO",
"phy_name":"CE001.DCC01/CLKO"
},
"arrive":7.027,
"delay":0.000
},
{
"type":"net_delay",
"net":
{
"log_name":"CE001/CLK1",
"phy_name":"CLK1"
},
"arrive":9.219,
"delay":2.192
},
{
"type":"site_delay",
"pin0":
{
"log_name":"",
"phy_name":""
},
"pin1":
{
"log_name":"",
"phy_name":""
},
"arrive":9.219,
"delay":0.000
}
]
}
Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout
---------------------------------------- ------------------------ ---------------- -------- --------------------- ------
CONSTRAINT 0.000 6.666 1
OSCA001.OSCA_inst/HFCLKOUT OSC_CORE_OSC_CORE_R1C137 CLOCK LATENCY 0.000 6.666 343
top_reveal_coretop_instance/core0/tm_u/secured_instance_1_111/secured_signal_0_140
NET DELAY 0.361 7.027 343
CE001/DCC01/CLKI->CE001/DCC01/CLKO DCC_DCC_R1 DCC_DEL 0.000 7.027 40
CE001/CLK1 NET DELAY 2.192 9.219 40
LED3_0io.PIC_inst/CLK CLOCK PIN 0.000 9.219 1
Uncertainty -(0.000) 9.219
Common Path Skew 0.032 9.251
Setup time -(0.337) 8.914
---------------------------------------- ------------------------ ---------------- -------- --------------------- ------
Required Time 8.914
Arrival Time -(7.910)
---------------------------------------- ------------------------ ---------------- -------- --------------------- ------
Path Slack (Passed) 1.003
++++ Path 3 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
Path Begin : CNT01/Couti[0].ff_inst/Q (SLICE_R14C12A)
Path End : LED1_0io.PIC_inst/D (SIOLOGIC_CORE_IOL_R28B)
Source Clock : CLK (R)
Destination Clock: CLK (R)
Logic Level : 2
Delay Ratio : 90.1% (route), 9.9% (logic)
Clock Skew : -0.101 ns
Setup Constraint : 6.666 ns
Common Path Skew : 0.032 ns
Path Slack : 1.069 ns (Passed)
Source Clock Path
{
"path_begin":
{
"type":"pin",
"log_name":"OSCA001.OSCA_inst/HFCLKOUT",
"phy_name":"OSCA001.OSCA_inst/HFCLKOUT"
},
"path_end":
{
"type":"pin",
"log_name":"CNT01/Couti[0].ff_inst/CLK",
"phy_name":"CNT01.Couti_cry_0[0]/CLK"
},
"path_sections":[
{
"type":"net_delay",
"net":
{
"log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_111/secured_signal_0_140",
"phy_name":"CLK"
},
"arrive":0.393,
"delay":0.393
},
{
"type":"site_delay",
"pin0":
{
"log_name":"CE001/DCC01/CLKI",
"phy_name":"CE001.DCC01/CLKI"
},
"pin1":
{
"log_name":"CE001/DCC01/CLKO",
"phy_name":"CE001.DCC01/CLKO"
},
"arrive":0.393,
"delay":0.000
},
{
"type":"net_delay",
"net":
{
"log_name":"CE001/CLK1",
"phy_name":"CLK1"
},
"arrive":2.654,
"delay":2.261
},
{
"type":"site_delay",
"pin0":
{
"log_name":"",
"phy_name":""
},
"pin1":
{
"log_name":"",
"phy_name":""
},
"arrive":2.654,
"delay":0.000
}
]
}
Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout
---------------------------------------- ------------------------ ---------------- -------- --------------------- ------
OSCA001.OSCA_inst/HFCLKOUT OSC_CORE_OSC_CORE_R1C137 CLOCK LATENCY 0.000 0.000 343
top_reveal_coretop_instance/core0/tm_u/secured_instance_1_111/secured_signal_0_140
NET DELAY 0.393 0.393 343
CE001/DCC01/CLKI->CE001/DCC01/CLKO DCC_DCC_R1 DCC_DEL 0.000 0.393 40
CE001/CLK1 NET DELAY 2.261 2.654 40
CNT01/Couti[0].ff_inst/CLK CLOCK PIN 0.000 2.654 1
Data Path
{
"path_begin":
{
"type":"pin",
"log_name":"CNT01/Couti[0].ff_inst/Q",
"phy_name":"CNT01.Couti_cry_0[0]/Q1"
},
"path_end":
{
"type":"pin",
"log_name":"LED1_0io.PIC_inst/D",
"phy_name":"LED1_pad.bb_inst_IOL/TXDATA0"
},
"path_sections":[
{
"type":"site_delay",
"pin0":
{
"log_name":"CNT01/Couti[0].ff_inst/CLK",
"phy_name":"CNT01.Couti_cry_0[0]/CLK"
},
"pin1":
{
"log_name":"CNT01/Couti[0].ff_inst/Q",
"phy_name":"CNT01.Couti_cry_0[0]/Q1"
},
"arrive":2.956,
"delay":0.302
},
{
"type":"net_delay",
"net":
{
"log_name":"top_reveal_coretop_instance/core0/tm_u/secured_signal_1_41",
"phy_name":"CNT1[0]"
},
"arrive":4.620,
"delay":1.664
},
{
"type":"site_delay",
"pin0":
{
"log_name":"LED1_1_cZ/A",
"phy_name":"GND_cZ/D1"
},
"pin1":
{
"log_name":"LED1_1_cZ/Z",
"phy_name":"GND_cZ/F1"
},
"arrive":4.831,
"delay":0.211
},
{
"type":"net_delay",
"net":
{
"log_name":"LED1_1",
"phy_name":"LED1_1"
},
"arrive":7.845,
"delay":3.014
},
{
"type":"site_delay",
"pin0":
{
"log_name":"",
"phy_name":""
},
"pin1":
{
"log_name":"",
"phy_name":""
},
"arrive":7.845,
"delay":0.000
}
]
}
Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout
---------------------------------------- ------------------------ ---------------- -------- --------------------- ------
CNT01/Couti[0].ff_inst/CLK->CNT01/Couti[0].ff_inst/Q
SLICE_R14C12A REG_DEL 0.302 2.956 4
top_reveal_coretop_instance/core0/tm_u/secured_signal_1_41
NET DELAY 1.664 4.620 4
LED1_1_cZ/A->LED1_1_cZ/Z SLICE_R36C45D CTOF_DEL 0.211 4.831 1
LED1_1 NET DELAY 3.014 7.845 1
LED1_0io.PIC_inst/D ENDPOINT 0.000 7.845 1
Destination Clock Path
{
"path_begin":
{
"type":"pin",
"log_name":"OSCA001.OSCA_inst/HFCLKOUT",
"phy_name":"OSCA001.OSCA_inst/HFCLKOUT"
},
"path_end":
{
"type":"pin",
"log_name":"LED1_0io.PIC_inst/CLK",
"phy_name":"LED1_pad.bb_inst_IOL/SCLKOUT"
},
"path_sections":[
{
"type":"site_delay",
"pin0":
{
"log_name":"",
"phy_name":""
},
"pin1":
{
"log_name":"",
"phy_name":""
},
"arrive":6.666,
"delay":0.000
},
{
"type":"net_delay",
"net":
{
"log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_111/secured_signal_0_140",
"phy_name":"CLK"
},
"arrive":7.027,
"delay":0.361
},
{
"type":"site_delay",
"pin0":
{
"log_name":"CE001/DCC01/CLKI",
"phy_name":"CE001.DCC01/CLKI"
},
"pin1":
{
"log_name":"CE001/DCC01/CLKO",
"phy_name":"CE001.DCC01/CLKO"
},
"arrive":7.027,
"delay":0.000
},
{
"type":"net_delay",
"net":
{
"log_name":"CE001/CLK1",
"phy_name":"CLK1"
},
"arrive":9.219,
"delay":2.192
},
{
"type":"site_delay",
"pin0":
{
"log_name":"",
"phy_name":""
},
"pin1":
{
"log_name":"",
"phy_name":""
},
"arrive":9.219,
"delay":0.000
}
]
}
Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout
---------------------------------------- ------------------------ ---------------- -------- --------------------- ------
CONSTRAINT 0.000 6.666 1
OSCA001.OSCA_inst/HFCLKOUT OSC_CORE_OSC_CORE_R1C137 CLOCK LATENCY 0.000 6.666 343
top_reveal_coretop_instance/core0/tm_u/secured_instance_1_111/secured_signal_0_140
NET DELAY 0.361 7.027 343
CE001/DCC01/CLKI->CE001/DCC01/CLKO DCC_DCC_R1 DCC_DEL 0.000 7.027 40
CE001/CLK1 NET DELAY 2.192 9.219 40
LED1_0io.PIC_inst/CLK CLOCK PIN 0.000 9.219 1
Uncertainty -(0.000) 9.219
Common Path Skew 0.032 9.251
Setup time -(0.337) 8.914
---------------------------------------- ------------------------ ---------------- -------- --------------------- ------
Required Time 8.914
Arrival Time -(7.844)
---------------------------------------- ------------------------ ---------------- -------- --------------------- ------
Path Slack (Passed) 1.069
++++ Path 4 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
Path Begin : CE001/CE_reg.ff_inst/Q (SLICE_R41C73D)
Path End : CE001/DCC01/CE (DCC_DCC_R1)
Source Clock : CLK (R)
Destination Clock: CLK (R)
Logic Level : 1
Delay Ratio : 89.3% (route), 10.7% (logic)
Clock Skew : -2.293 ns
Setup Constraint : 6.666 ns
Common Path Skew : 0.001 ns
Path Slack : 1.555 ns (Passed)
Source Clock Path
{
"path_begin":
{
"type":"pin",
"log_name":"OSCA001.OSCA_inst/HFCLKOUT",
"phy_name":"OSCA001.OSCA_inst/HFCLKOUT"
},
"path_end":
{
"type":"pin",
"log_name":"CE001/CE1_reg.ff_inst/CLK",
"phy_name":"jtaghub_inst.jtdo1_2_i_o4_cZ/CLK"
},
"path_sections":[
{
"type":"net_delay",
"net":
{
"log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_111/secured_signal_0_140",
"phy_name":"CLK"
},
"arrive":2.654,
"delay":2.654
},
{
"type":"site_delay",
"pin0":
{
"log_name":"",
"phy_name":""
},
"pin1":
{
"log_name":"",
"phy_name":""
},
"arrive":2.654,
"delay":0.000
}
]
}
Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout
---------------------------------------- ------------------------ ---------------- -------- --------------------- ------
OSCA001.OSCA_inst/HFCLKOUT OSC_CORE_OSC_CORE_R1C137 CLOCK LATENCY 0.000 0.000 343
top_reveal_coretop_instance/core0/tm_u/secured_instance_1_111/secured_signal_0_140
NET DELAY 2.654 2.654 343
{CE001/CE1_reg.ff_inst/CLK CE001/CE_reg.ff_inst/CLK}
CLOCK PIN 0.000 2.654 1
Data Path
{
"path_begin":
{
"type":"pin",
"log_name":"CE001/CE_reg.ff_inst/Q",
"phy_name":"jtaghub_inst.jtdo1_2_i_o4_cZ/Q1"
},
"path_end":
{
"type":"pin",
"log_name":"CE001/DCC01/CE",
"phy_name":"CE001.DCC01/CE"
},
"path_sections":[
{
"type":"site_delay",
"pin0":
{
"log_name":"CE001/CE_reg.ff_inst/CLK",
"phy_name":"jtaghub_inst.jtdo1_2_i_o4_cZ/CLK"
},
"pin1":
{
"log_name":"CE001/CE_reg.ff_inst/Q",
"phy_name":"jtaghub_inst.jtdo1_2_i_o4_cZ/Q1"
},
"arrive":2.956,
"delay":0.302
},
{
"type":"net_delay",
"net":
{
"log_name":"CE001/CE",
"phy_name":"CE001.CE"
},
"arrive":5.473,
"delay":2.517
},
{
"type":"site_delay",
"pin0":
{
"log_name":"",
"phy_name":""
},
"pin1":
{
"log_name":"",
"phy_name":""
},
"arrive":5.473,
"delay":0.000
}
]
}
Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout
---------------------------------------- ------------------------ ---------------- -------- --------------------- ------
CE001/CE_reg.ff_inst/CLK->CE001/CE_reg.ff_inst/Q
SLICE_R41C73D REG_DEL 0.302 2.956 1
CE001/CE NET DELAY 2.517 5.473 1
CE001/DCC01/CE ENDPOINT 0.000 5.473 1
Destination Clock Path
{
"path_begin":
{
"type":"pin",
"log_name":"OSCA001.OSCA_inst/HFCLKOUT",
"phy_name":"OSCA001.OSCA_inst/HFCLKOUT"
},
"path_end":
{
"type":"pin",
"log_name":"CE001/DCC01/CLKI",
"phy_name":"CE001.DCC01/CLKI"
},
"path_sections":[
{
"type":"site_delay",
"pin0":
{
"log_name":"",
"phy_name":""
},
"pin1":
{
"log_name":"",
"phy_name":""
},
"arrive":6.666,
"delay":0.000
},
{
"type":"net_delay",
"net":
{
"log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_111/secured_signal_0_140",
"phy_name":"CLK"
},
"arrive":7.027,
"delay":0.361
},
{
"type":"site_delay",
"pin0":
{
"log_name":"",
"phy_name":""
},
"pin1":
{
"log_name":"",
"phy_name":""
},
"arrive":7.027,
"delay":0.000
}
]
}
Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout
---------------------------------------- ------------------------ ---------------- -------- --------------------- ------
CONSTRAINT 0.000 6.666 1
OSCA001.OSCA_inst/HFCLKOUT OSC_CORE_OSC_CORE_R1C137 CLOCK LATENCY 0.000 6.666 343
top_reveal_coretop_instance/core0/tm_u/secured_instance_1_111/secured_signal_0_140
NET DELAY 0.361 7.027 343
CE001/DCC01/CLKI CLOCK PIN 0.000 7.027 1
Uncertainty -(0.000) 7.027
Common Path Skew 0.001 7.028
Setup time -(0.000) 7.028
---------------------------------------- ------------------------ ---------------- -------- --------------------- ------
Required Time 7.028
Arrival Time -(5.472)
---------------------------------------- ------------------------ ---------------- -------- --------------------- ------
Path Slack (Passed) 1.555
++++ Path 5 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
Path Begin : CNT02/Couti[0].ff_inst/Q (SLICE_R36C74A)
Path End : LED2_0io.PIC_inst/D (SIOLOGIC_CORE_IOL_R28A)
Source Clock : CLK (R)
Destination Clock: CLK (R)
Logic Level : 2
Delay Ratio : 88.6% (route), 11.4% (logic)
Clock Skew : -0.101 ns
Setup Constraint : 6.666 ns
Common Path Skew : 0.111 ns
Path Slack : 1.857 ns (Passed)
Source Clock Path
{
"path_begin":
{
"type":"pin",
"log_name":"OSCA001.OSCA_inst/HFCLKOUT",
"phy_name":"OSCA001.OSCA_inst/HFCLKOUT"
},
"path_end":
{
"type":"pin",
"log_name":"CNT02/Couti[0].ff_inst/CLK",
"phy_name":"CNT02.Couti_cry_0[0]/CLK"
},
"path_sections":[
{
"type":"net_delay",
"net":
{
"log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_111/secured_signal_0_140",
"phy_name":"CLK"
},
"arrive":0.393,
"delay":0.393
},
{
"type":"site_delay",
"pin0":
{
"log_name":"CE001/DCC01/CLKI",
"phy_name":"CE001.DCC01/CLKI"
},
"pin1":
{
"log_name":"CE001/DCC01/CLKO",
"phy_name":"CE001.DCC01/CLKO"
},
"arrive":0.393,
"delay":0.000
},
{
"type":"net_delay",
"net":
{
"log_name":"CE001/CLK1",
"phy_name":"CLK1"
},
"arrive":2.654,
"delay":2.261
},
{
"type":"site_delay",
"pin0":
{
"log_name":"",
"phy_name":""
},
"pin1":
{
"log_name":"",
"phy_name":""
},
"arrive":2.654,
"delay":0.000
}
]
}
Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout
---------------------------------------- ------------------------ ---------------- -------- --------------------- ------
OSCA001.OSCA_inst/HFCLKOUT OSC_CORE_OSC_CORE_R1C137 CLOCK LATENCY 0.000 0.000 343
top_reveal_coretop_instance/core0/tm_u/secured_instance_1_111/secured_signal_0_140
NET DELAY 0.393 0.393 343
CE001/DCC01/CLKI->CE001/DCC01/CLKO DCC_DCC_R1 DCC_DEL 0.000 0.393 40
CE001/CLK1 NET DELAY 2.261 2.654 40
CNT02/Couti[0].ff_inst/CLK CLOCK PIN 0.000 2.654 1
Data Path
{
"path_begin":
{
"type":"pin",
"log_name":"CNT02/Couti[0].ff_inst/Q",
"phy_name":"CNT02.Couti_cry_0[0]/Q1"
},
"path_end":
{
"type":"pin",
"log_name":"LED2_0io.PIC_inst/D",
"phy_name":"LED2_pad.bb_inst_IOL/TXDATA0"
},
"path_sections":[
{
"type":"site_delay",
"pin0":
{
"log_name":"CNT02/Couti[0].ff_inst/CLK",
"phy_name":"CNT02.Couti_cry_0[0]/CLK"
},
"pin1":
{
"log_name":"CNT02/Couti[0].ff_inst/Q",
"phy_name":"CNT02.Couti_cry_0[0]/Q1"
},
"arrive":2.956,
"delay":0.302
},
{
"type":"net_delay",
"net":
{
"log_name":"top_reveal_coretop_instance/core0/tm_u/secured_signal_1_57",
"phy_name":"CNT2[0]"
},
"arrive":3.911,
"delay":0.955
},
{
"type":"site_delay",
"pin0":
{
"log_name":"LED2_1_cZ/A",
"phy_name":"LED2_1_cZ/D0"
},
"pin1":
{
"log_name":"LED2_1_cZ/Z",
"phy_name":"LED2_1_cZ/F0"
},
"arrive":4.122,
"delay":0.211
},
{
"type":"net_delay",
"net":
{
"log_name":"LED2_1",
"phy_name":"LED2_1"
},
"arrive":7.136,
"delay":3.014
},
{
"type":"site_delay",
"pin0":
{
"log_name":"",
"phy_name":""
},
"pin1":
{
"log_name":"",
"phy_name":""
},
"arrive":7.136,
"delay":0.000
}
]
}
Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout
---------------------------------------- ------------------------ ---------------- -------- --------------------- ------
CNT02/Couti[0].ff_inst/CLK->CNT02/Couti[0].ff_inst/Q
SLICE_R36C74A REG_DEL 0.302 2.956 4
top_reveal_coretop_instance/core0/tm_u/secured_signal_1_57
NET DELAY 0.955 3.911 4
LED2_1_cZ/A->LED2_1_cZ/Z SLICE_R36C45C CTOF_DEL 0.211 4.122 1
LED2_1 NET DELAY 3.014 7.136 1
LED2_0io.PIC_inst/D ENDPOINT 0.000 7.136 1
Destination Clock Path
{
"path_begin":
{
"type":"pin",
"log_name":"OSCA001.OSCA_inst/HFCLKOUT",
"phy_name":"OSCA001.OSCA_inst/HFCLKOUT"
},
"path_end":
{
"type":"pin",
"log_name":"LED2_0io.PIC_inst/CLK",
"phy_name":"LED2_pad.bb_inst_IOL/SCLKOUT"
},
"path_sections":[
{
"type":"site_delay",
"pin0":
{
"log_name":"",
"phy_name":""
},
"pin1":
{
"log_name":"",
"phy_name":""
},
"arrive":6.666,
"delay":0.000
},
{
"type":"net_delay",
"net":
{
"log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_111/secured_signal_0_140",
"phy_name":"CLK"
},
"arrive":7.027,
"delay":0.361
},
{
"type":"site_delay",
"pin0":
{
"log_name":"CE001/DCC01/CLKI",
"phy_name":"CE001.DCC01/CLKI"
},
"pin1":
{
"log_name":"CE001/DCC01/CLKO",
"phy_name":"CE001.DCC01/CLKO"
},
"arrive":7.027,
"delay":0.000
},
{
"type":"net_delay",
"net":
{
"log_name":"CE001/CLK1",
"phy_name":"CLK1"
},
"arrive":9.219,
"delay":2.192
},
{
"type":"site_delay",
"pin0":
{
"log_name":"",
"phy_name":""
},
"pin1":
{
"log_name":"",
"phy_name":""
},
"arrive":9.219,
"delay":0.000
}
]
}
Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout
---------------------------------------- ------------------------ ---------------- -------- --------------------- ------
CONSTRAINT 0.000 6.666 1
OSCA001.OSCA_inst/HFCLKOUT OSC_CORE_OSC_CORE_R1C137 CLOCK LATENCY 0.000 6.666 343
top_reveal_coretop_instance/core0/tm_u/secured_instance_1_111/secured_signal_0_140
NET DELAY 0.361 7.027 343
CE001/DCC01/CLKI->CE001/DCC01/CLKO DCC_DCC_R1 DCC_DEL 0.000 7.027 40
CE001/CLK1 NET DELAY 2.192 9.219 40
LED2_0io.PIC_inst/CLK CLOCK PIN 0.000 9.219 1
Uncertainty -(0.000) 9.219
Common Path Skew 0.111 9.330
Setup time -(0.337) 8.993
---------------------------------------- ------------------------ ---------------- -------- --------------------- ------
Required Time 8.993
Arrival Time -(7.135)
---------------------------------------- ------------------------ ---------------- -------- --------------------- ------
Path Slack (Passed) 1.857
++++ Path 6 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
Path Begin : top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_8_102/Q (SLICE_R36C44B)
Path End : top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_8_95/DF (SLICE_R41C43C)
Source Clock : CLK (R)
Destination Clock: CLK (R)
Logic Level : 10
Delay Ratio : 54.5% (route), 45.5% (logic)
Clock Skew : -0.148 ns
Setup Constraint : 6.666 ns
Common Path Skew : 0.031 ns
Path Slack : 1.871 ns (Passed)
Source Clock Path
{
"path_begin":
{
"type":"pin",
"log_name":"OSCA001.OSCA_inst/HFCLKOUT",
"phy_name":"OSCA001.OSCA_inst/HFCLKOUT"
},
"path_end":
{
"type":"pin",
"log_name":"top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_8_102/CLK",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.te_1.IlmakoKkzGJqbAnCih0DskD24f5ez9tLytLLu65ffzH12G2ozysJf2dwq[0]/CLK"
},
"path_sections":[
{
"type":"net_delay",
"net":
{
"log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_111/secured_signal_0_140",
"phy_name":"CLK"
},
"arrive":2.654,
"delay":2.654
},
{
"type":"site_delay",
"pin0":
{
"log_name":"",
"phy_name":""
},
"pin1":
{
"log_name":"",
"phy_name":""
},
"arrive":2.654,
"delay":0.000
}
]
}
Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout
---------------------------------------- ------------------------ ---------------- --------- --------------------- ------
OSCA001.OSCA_inst/HFCLKOUT OSC_CORE_OSC_CORE_R1C137 CLOCK LATENCY 0.000 0.000 343
top_reveal_coretop_instance/core0/tm_u/secured_instance_1_111/secured_signal_0_140
NET DELAY 2.654 2.654 343
{top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_8_102/CLK top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_8_101/CLK}
CLOCK PIN 0.000 2.654 1
Data Path
{
"path_begin":
{
"type":"pin",
"log_name":"top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_8_102/Q",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.te_1.IlmakoKkzGJqbAnCih0DskD24f5ez9tLytLLu65ffzH12G2ozysJf2dwq[0]/Q0"
},
"path_end":
{
"type":"pin",
"log_name":"top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_8_95/DF",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_4.Ie0fvlc4DA.ff_inst/DI0"
},
"path_sections":[
{
"type":"site_delay",
"pin0":
{
"log_name":"top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_8_102/CLK",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.te_1.IlmakoKkzGJqbAnCih0DskD24f5ez9tLytLLu65ffzH12G2ozysJf2dwq[0]/CLK"
},
"pin1":
{
"log_name":"top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_8_102/Q",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.te_1.IlmakoKkzGJqbAnCih0DskD24f5ez9tLytLLu65ffzH12G2ozysJf2dwq[0]/Q0"
},
"arrive":2.955,
"delay":0.301
},
{
"type":"net_delay",
"net":
{
"log_name":"top_reveal_coretop_instance/core0/trig_u/tu_4/secured_signal_8_86",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_4.NhL6rK7kjDdr78xjJ[3]"
},
"arrive":3.521,
"delay":0.566
},
{
"type":"site_delay",
"pin0":
{
"log_name":"top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_8_71/B",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_4.IdqzHCkaae4atgf2l52vbJ0A680pb1xbc/B1"
},
"pin1":
{
"log_name":"top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_8_71/Z",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_4.IdqzHCkaae4atgf2l52vbJ0A680pb1xbc/F1"
},
"arrive":3.732,
"delay":0.211
},
{
"type":"net_delay",
"net":
{
"log_name":"top_reveal_coretop_instance/core0/trig_u/tu_4/secured_signal_8_197",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_4.NjzhBHr2GnijAwxbFvzqagmEyCr"
},
"arrive":4.207,
"delay":0.475
},
{
"type":"site_delay",
"pin0":
{
"log_name":"top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_8_72/D",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_4.IdqzHCkaae4atgf2l52vbJ0A680pb1xbc/A0"
},
"pin1":
{
"log_name":"top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_8_72/Z",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_4.IdqzHCkaae4atgf2l52vbJ0A680pb1xbc/F0"
},
"arrive":4.418,
"delay":0.211
},
{
"type":"net_delay",
"net":
{
"log_name":"top_reveal_coretop_instance/core0/trig_u/tu_4/secured_signal_8_160",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_4.Nf4H8HwGahC46npu56oLynGhHkotr5"
},
"arrive":4.893,
"delay":0.475
},
{
"type":"site_delay",
"pin0":
{
"log_name":"top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_8_78/A0",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_4.IqdwgKi79qkEwzIGgJp6Hxba/A0"
},
"pin1":
{
"log_name":"top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_8_78/COUT",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_4.IqdwgKi79qkEwzIGgJp6Hxba/FCO"
},
"arrive":5.218,
"delay":0.325
},
{
"type":"net_delay",
"net":
{
"log_name":"top_reveal_coretop_instance/core0/trig_u/tu_4/secured_signal_8_111",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_4.NjzhBHr2GnijAwxcxurFentaE3a[2]"
},
"arrive":5.218,
"delay":0.000
},
{
"type":"site_delay",
"pin0":
{
"log_name":"top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_8_77/CIN",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_4.IqdwgKi79qkEwzIGgJp35b7a/FCI"
},
"pin1":
{
"log_name":"top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_8_77/COUT",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_4.IqdwgKi79qkEwzIGgJp35b7a/FCO"
},
"arrive":5.273,
"delay":0.055
},
{
"type":"net_delay",
"net":
{
"log_name":"top_reveal_coretop_instance/core0/trig_u/tu_4/secured_signal_8_110",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_4.NjzhBHr2GnijAwxcxurFentaE3a[4]"
},
"arrive":5.273,
"delay":0.000
},
{
"type":"site_delay",
"pin0":
{
"log_name":"top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_8_76/CIN",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_4.IqdwgKi79qkEwzIGgJp0z77a/FCI"
},
"pin1":
{
"log_name":"top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_8_76/COUT",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_4.IqdwgKi79qkEwzIGgJp0z77a/FCO"
},
"arrive":5.328,
"delay":0.055
},
{
"type":"net_delay",
"net":
{
"log_name":"top_reveal_coretop_instance/core0/trig_u/tu_4/secured_signal_8_109",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_4.NjzhBHr2GnijAwxcxurFentaE3a[6]"
},
"arrive":5.328,
"delay":0.000
},
{
"type":"site_delay",
"pin0":
{
"log_name":"top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_8_75/CIN",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_4.IqdwgKi79qkEwzIGgJpAaDba/FCI"
},
"pin1":
{
"log_name":"top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_8_75/S1",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_4.IqdwgKi79qkEwzIGgJpAaDba/F1"
},
"arrive":5.616,
"delay":0.288
},
{
"type":"net_delay",
"net":
{
"log_name":"top_reveal_coretop_instance/core0/trig_u/tu_4/secured_signal_8_130",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_4.NbcCj0hnGbwlAcxGFJcDfs1p4kmCr"
},
"arrive":5.930,
"delay":0.314
},
{
"type":"site_delay",
"pin0":
{
"log_name":"top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_8_52/B",
"phy_name":"top_reveal_coretop_instance.core0.tm_u.IcLtEjh6ygH3giFahFshsnc[4].ff_inst/D1"
},
"pin1":
{
"log_name":"top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_8_52/Z",
"phy_name":"top_reveal_coretop_instance.core0.tm_u.IcLtEjh6ygH3giFahFshsnc[4].ff_inst/F1"
},
"arrive":6.141,
"delay":0.211
},
{
"type":"net_delay",
"net":
{
"log_name":"top_reveal_coretop_instance/core0/trig_u/tu_4/secured_signal_8_163",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_4.Ne1IDB4vm9"
},
"arrive":6.527,
"delay":0.386
},
{
"type":"site_delay",
"pin0":
{
"log_name":"top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_8_90/D",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_4.ImmjHJuzggHxba/B1"
},
"pin1":
{
"log_name":"top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_8_90/Z",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_4.ImmjHJuzggHxba/OFX0"
},
"arrive":6.808,
"delay":0.281
},
{
"type":"net_delay",
"net":
{
"log_name":"top_reveal_coretop_instance/core0/trig_u/tu_4/secured_signal_8_165",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_4.NKvlC"
},
"arrive":7.172,
"delay":0.364
},
{
"type":"site_delay",
"pin0":
{
"log_name":"top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_8_58/B",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_4.Ie0fvlc4DA.ff_inst/C0"
},
"pin1":
{
"log_name":"top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_8_58/Z",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_4.Ie0fvlc4DA.ff_inst/F0"
},
"arrive":7.391,
"delay":0.219
},
{
"type":"net_delay",
"net":
{
"log_name":"top_reveal_coretop_instance/core0/trig_u/tu_4/secured_signal_8_150",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_4.Ncd"
},
"arrive":7.391,
"delay":0.000
},
{
"type":"site_delay",
"pin0":
{
"log_name":"",
"phy_name":""
},
"pin1":
{
"log_name":"",
"phy_name":""
},
"arrive":7.391,
"delay":0.000
}
]
}
Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout
---------------------------------------- ------------------------ ---------------- --------- --------------------- ------
top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_8_102/CLK->top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_8_102/Q
SLICE_R36C44B REG_DEL 0.301 2.955 3
top_reveal_coretop_instance/core0/trig_u/tu_4/secured_signal_8_86
NET DELAY 0.566 3.521 3
top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_8_71/B->top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_8_71/Z
SLICE_R42C48B CTOF_DEL 0.211 3.732 1
top_reveal_coretop_instance/core0/trig_u/tu_4/secured_signal_8_197
NET DELAY 0.475 4.207 1
top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_8_72/D->top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_8_72/Z
SLICE_R42C48B CTOF_DEL 0.211 4.418 1
top_reveal_coretop_instance/core0/trig_u/tu_4/secured_signal_8_160
NET DELAY 0.475 4.893 1
top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_8_78/A0->top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_8_78/COUT
SLICE_R42C52B C0TOFCO_DEL 0.325 5.218 1
top_reveal_coretop_instance/core0/trig_u/tu_4/secured_signal_8_111
NET DELAY 0.000 5.218 1
top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_8_77/CIN->top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_8_77/COUT
SLICE_R42C52C FCITOFCO_DEL 0.055 5.273 1
top_reveal_coretop_instance/core0/trig_u/tu_4/secured_signal_8_110
NET DELAY 0.000 5.273 1
top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_8_76/CIN->top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_8_76/COUT
SLICE_R42C52D FCITOFCO_DEL 0.055 5.328 1
top_reveal_coretop_instance/core0/trig_u/tu_4/secured_signal_8_109
NET DELAY 0.000 5.328 1
top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_8_75/CIN->top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_8_75/S1
SLICE_R42C53A FCITOF1_DEL 0.288 5.616 3
top_reveal_coretop_instance/core0/trig_u/tu_4/secured_signal_8_130
NET DELAY 0.314 5.930 3
top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_8_52/B->top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_8_52/Z
SLICE_R42C50B CTOF_DEL 0.211 6.141 1
top_reveal_coretop_instance/core0/trig_u/tu_4/secured_signal_8_163
NET DELAY 0.386 6.527 1
top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_8_90/D->top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_8_90/Z
SLICE_R42C45C CTOOF_DEL 0.281 6.808 1
top_reveal_coretop_instance/core0/trig_u/tu_4/secured_signal_8_165
NET DELAY 0.364 7.172 1
top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_8_58/B->top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_8_58/Z
SLICE_R41C43C CTOF_DEL 0.219 7.391 1
top_reveal_coretop_instance/core0/trig_u/tu_4/secured_signal_8_150
NET DELAY 0.000 7.391 1
top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_8_95/DF
ENDPOINT 0.000 7.391 1
Destination Clock Path
{
"path_begin":
{
"type":"pin",
"log_name":"OSCA001.OSCA_inst/HFCLKOUT",
"phy_name":"OSCA001.OSCA_inst/HFCLKOUT"
},
"path_end":
{
"type":"pin",
"log_name":"top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_8_95/CLK",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_4.Ie0fvlc4DA.ff_inst/CLK"
},
"path_sections":[
{
"type":"site_delay",
"pin0":
{
"log_name":"",
"phy_name":""
},
"pin1":
{
"log_name":"",
"phy_name":""
},
"arrive":6.666,
"delay":0.000
},
{
"type":"net_delay",
"net":
{
"log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_111/secured_signal_0_140",
"phy_name":"CLK"
},
"arrive":9.172,
"delay":2.506
},
{
"type":"site_delay",
"pin0":
{
"log_name":"",
"phy_name":""
},
"pin1":
{
"log_name":"",
"phy_name":""
},
"arrive":9.172,
"delay":0.000
}
]
}
Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout
---------------------------------------- ------------------------ ---------------- --------- --------------------- ------
CONSTRAINT 0.000 6.666 1
OSCA001.OSCA_inst/HFCLKOUT OSC_CORE_OSC_CORE_R1C137 CLOCK LATENCY 0.000 6.666 343
top_reveal_coretop_instance/core0/tm_u/secured_instance_1_111/secured_signal_0_140
NET DELAY 2.506 9.172 343
{top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_8_95/CLK top_reveal_coretop_instance/core0/trig_u/te_0/secured_instance_7_80/CLK}
CLOCK PIN 0.000 9.172 1
Uncertainty -(0.000) 9.172
Common Path Skew 0.031 9.203
Setup time -(-0.059) 9.262
---------------------------------------- ------------------------ ---------------- --------- --------------------- ------
Required Time 9.262
Arrival Time -(7.390)
---------------------------------------- ------------------------ ---------------- --------- --------------------- ------
Path Slack (Passed) 1.871
++++ Path 7 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
Path Begin : top_reveal_coretop_instance/core0/trig_u/te_1/secured_instance_5_130/secured_instance_4_16/Q (SLICE_R32C39C)
Path End : top_reveal_coretop_instance/core0/trig_u/te_1/secured_instance_5_251/DF (SLICE_R36C39B)
Source Clock : CLK (R)
Destination Clock: CLK (R)
Logic Level : 8
Delay Ratio : 59.7% (route), 40.3% (logic)
Clock Skew : -0.148 ns
Setup Constraint : 6.666 ns
Common Path Skew : 0.118 ns
Path Slack : 2.010 ns (Passed)
Source Clock Path
{
"path_begin":
{
"type":"pin",
"log_name":"OSCA001.OSCA_inst/HFCLKOUT",
"phy_name":"OSCA001.OSCA_inst/HFCLKOUT"
},
"path_end":
{
"type":"pin",
"log_name":"top_reveal_coretop_instance/core0/trig_u/te_1/secured_instance_5_130/secured_instance_4_17/CLK",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.te_1.IcJqK4vCkkI3A42Eer0fAh4HlL8ecj7IJ.Iolb7JEGql8[7].ff_inst/CLK"
},
"path_sections":[
{
"type":"net_delay",
"net":
{
"log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_111/secured_signal_0_140",
"phy_name":"CLK"
},
"arrive":2.654,
"delay":2.654
},
{
"type":"site_delay",
"pin0":
{
"log_name":"",
"phy_name":""
},
"pin1":
{
"log_name":"",
"phy_name":""
},
"arrive":2.654,
"delay":0.000
}
]
}
Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout
---------------------------------------- ------------------------ ---------------- --------- --------------------- ------
OSCA001.OSCA_inst/HFCLKOUT OSC_CORE_OSC_CORE_R1C137 CLOCK LATENCY 0.000 0.000 343
top_reveal_coretop_instance/core0/tm_u/secured_instance_1_111/secured_signal_0_140
NET DELAY 2.654 2.654 343
{top_reveal_coretop_instance/core0/trig_u/te_1/secured_instance_5_130/secured_instance_4_17/CLK top_reveal_coretop_instance/core0/trig_u/te_1/secured_instance_5_130/secured_instance_4_16/CLK}
CLOCK PIN 0.000 2.654 1
Data Path
{
"path_begin":
{
"type":"pin",
"log_name":"top_reveal_coretop_instance/core0/trig_u/te_1/secured_instance_5_130/secured_instance_4_16/Q",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.te_1.IcJqK4vCkkI3A42Eer0fAh4HlL8ecj7IJ.Iolb7JEGql8[7].ff_inst/Q1"
},
"path_end":
{
"type":"pin",
"log_name":"top_reveal_coretop_instance/core0/trig_u/te_1/secured_instance_5_251/DF",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.te_1.Ibs9e948p5Lino8s[1].ff_inst/DI1"
},
"path_sections":[
{
"type":"site_delay",
"pin0":
{
"log_name":"top_reveal_coretop_instance/core0/trig_u/te_1/secured_instance_5_130/secured_instance_4_16/CLK",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.te_1.IcJqK4vCkkI3A42Eer0fAh4HlL8ecj7IJ.Iolb7JEGql8[7].ff_inst/CLK"
},
"pin1":
{
"log_name":"top_reveal_coretop_instance/core0/trig_u/te_1/secured_instance_5_130/secured_instance_4_16/Q",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.te_1.IcJqK4vCkkI3A42Eer0fAh4HlL8ecj7IJ.Iolb7JEGql8[7].ff_inst/Q1"
},
"arrive":2.956,
"delay":0.302
},
{
"type":"net_delay",
"net":
{
"log_name":"top_reveal_coretop_instance/core0/trig_u/te_1/secured_instance_5_130/secured_signal_4_19",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.te_1.Ne0ferKx8u[6]"
},
"arrive":3.431,
"delay":0.475
},
{
"type":"site_delay",
"pin0":
{
"log_name":"top_reveal_coretop_instance/core0/trig_u/te_1/secured_instance_5_171/C",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.te_1.Id0Fpm3lKdnky06fy7GCqdCteAqbp9B9wt2oxnGmLv9k18s3puImp/A1"
},
"pin1":
{
"log_name":"top_reveal_coretop_instance/core0/trig_u/te_1/secured_instance_5_171/Z",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.te_1.Id0Fpm3lKdnky06fy7GCqdCteAqbp9B9wt2oxnGmLv9k18s3puImp/OFX0"
},
"arrive":3.718,
"delay":0.287
},
{
"type":"net_delay",
"net":
{
"log_name":"top_reveal_coretop_instance/core0/trig_u/te_1/secured_signal_5_263",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.te_1.N1zb4q7e"
},
"arrive":4.009,
"delay":0.291
},
{
"type":"site_delay",
"pin0":
{
"log_name":"top_reveal_coretop_instance/core0/trig_u/te_1/secured_instance_5_103/A",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.te_1.IdJ4onDdADcnIz5f1ociu3Ga5Jk8b2w7BLJcqk4q9rIh7I1nxDmK5rfb9LJHv19/C1"
},
"pin1":
{
"log_name":"top_reveal_coretop_instance/core0/trig_u/te_1/secured_instance_5_103/Z",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.te_1.IdJ4onDdADcnIz5f1ociu3Ga5Jk8b2w7BLJcqk4q9rIh7I1nxDmK5rfb9LJHv19/F1"
},
"arrive":4.228,
"delay":0.219
},
{
"type":"net_delay",
"net":
{
"log_name":"top_reveal_coretop_instance/core0/trig_u/te_1/secured_signal_5_265",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.te_1.NcKgGbyr271p2HalK45ancu"
},
"arrive":4.379,
"delay":0.151
},
{
"type":"site_delay",
"pin0":
{
"log_name":"top_reveal_coretop_instance/core0/trig_u/te_1/secured_instance_5_56/A",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.te_1.Ivdr2j6ueqmknyBJ70H2JLqd0rjfyxFek56mwy7FvuLdnvChAl0vE4AjFcg6bKbt/C1"
},
"pin1":
{
"log_name":"top_reveal_coretop_instance/core0/trig_u/te_1/secured_instance_5_56/Z",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.te_1.Ivdr2j6ueqmknyBJ70H2JLqd0rjfyxFek56mwy7FvuLdnvChAl0vE4AjFcg6bKbt/F1"
},
"arrive":4.598,
"delay":0.219
},
{
"type":"net_delay",
"net":
{
"log_name":"top_reveal_coretop_instance/core0/trig_u/te_1/secured_signal_5_229",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.te_1.Nf0kwkFfyeAhG23zmgs7s1ILf7amD1"
},
"arrive":5.108,
"delay":0.510
},
{
"type":"site_delay",
"pin0":
{
"log_name":"top_reveal_coretop_instance/core0/trig_u/te_1/secured_instance_5_52/C",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.te_1.IbgcJyCyk459A4Jb9Ak9akj7bDyrpKJIqDJ52w41zrJHxs2ra[1]/B1"
},
"pin1":
{
"log_name":"top_reveal_coretop_instance/core0/trig_u/te_1/secured_instance_5_52/Z",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.te_1.IbgcJyCyk459A4Jb9Ak9akj7bDyrpKJIqDJ52w41zrJHxs2ra[1]/F1"
},
"arrive":5.319,
"delay":0.211
},
{
"type":"net_delay",
"net":
{
"log_name":"top_reveal_coretop_instance/core0/trig_u/te_1/secured_signal_5_232",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.te_1.Ngm6nHI8Kb8EzJ9Jz8A9yhGoliEvsJitlib0c2rb"
},
"arrive":5.864,
"delay":0.545
},
{
"type":"site_delay",
"pin0":
{
"log_name":"top_reveal_coretop_instance/core0/trig_u/te_1/secured_instance_5_32/D",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.te_1.IbgcJyCyk459A4Jb9Ak9akj7bDyrpKJIqDJ52w41zrJHxs2ra[0]/A0"
},
"pin1":
{
"log_name":"top_reveal_coretop_instance/core0/trig_u/te_1/secured_instance_5_32/Z",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.te_1.IbgcJyCyk459A4Jb9Ak9akj7bDyrpKJIqDJ52w41zrJHxs2ra[0]/F0"
},
"arrive":6.075,
"delay":0.211
},
{
"type":"net_delay",
"net":
{
"log_name":"top_reveal_coretop_instance/core0/trig_u/te_1/secured_signal_5_176",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.te_1.NbgcJyCyk459A4Jb9Ak9akj7bDyrpKJIqDJ52w41zrJHxs2ra[0]"
},
"arrive":6.647,
"delay":0.572
},
{
"type":"site_delay",
"pin0":
{
"log_name":"top_reveal_coretop_instance/core0/trig_u/te_1/secured_instance_5_23/B",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.te_1.IbgcJyCyk459A4Jb9Ak9akj7bDyrpKJIqDJ52w41zrJHxs2rb[0]/A0"
},
"pin1":
{
"log_name":"top_reveal_coretop_instance/core0/trig_u/te_1/secured_instance_5_23/Z",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.te_1.IbgcJyCyk459A4Jb9Ak9akj7bDyrpKJIqDJ52w41zrJHxs2rb[0]/F0"
},
"arrive":6.866,
"delay":0.219
},
{
"type":"net_delay",
"net":
{
"log_name":"top_reveal_coretop_instance/core0/trig_u/te_1/secured_signal_5_178",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.te_1.NbgcJyCyk459A4Jb9Ak9akj7bDyrpKJIqDJ52w41zrJHxs2rb[0]"
},
"arrive":7.119,
"delay":0.253
},
{
"type":"site_delay",
"pin0":
{
"log_name":"top_reveal_coretop_instance/core0/trig_u/te_1/secured_instance_5_13/B",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.te_1.Ibs9e948p5Lino8s[1].ff_inst/C1"
},
"pin1":
{
"log_name":"top_reveal_coretop_instance/core0/trig_u/te_1/secured_instance_5_13/Z",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.te_1.Ibs9e948p5Lino8s[1].ff_inst/F1"
},
"arrive":7.338,
"delay":0.219
},
{
"type":"net_delay",
"net":
{
"log_name":"top_reveal_coretop_instance/core0/trig_u/te_1/secured_signal_5_143",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.te_1.NesHrJ6xhvdHq10okjbh[0]"
},
"arrive":7.338,
"delay":0.000
},
{
"type":"site_delay",
"pin0":
{
"log_name":"",
"phy_name":""
},
"pin1":
{
"log_name":"",
"phy_name":""
},
"arrive":7.338,
"delay":0.000
}
]
}
Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout
---------------------------------------- ------------------------ ---------------- --------- --------------------- ------
top_reveal_coretop_instance/core0/trig_u/te_1/secured_instance_5_130/secured_instance_4_16/CLK->top_reveal_coretop_instance/core0/trig_u/te_1/secured_instance_5_130/secured_instance_4_16/Q
SLICE_R32C39C REG_DEL 0.302 2.956 1
top_reveal_coretop_instance/core0/trig_u/te_1/secured_instance_5_130/secured_signal_4_19
NET DELAY 0.475 3.431 1
top_reveal_coretop_instance/core0/trig_u/te_1/secured_instance_5_171/C->top_reveal_coretop_instance/core0/trig_u/te_1/secured_instance_5_171/Z
SLICE_R34C39A CTOOF_DEL 0.287 3.718 1
top_reveal_coretop_instance/core0/trig_u/te_1/secured_signal_5_263
NET DELAY 0.291 4.009 1
top_reveal_coretop_instance/core0/trig_u/te_1/secured_instance_5_103/A->top_reveal_coretop_instance/core0/trig_u/te_1/secured_instance_5_103/Z
SLICE_R34C41C CTOF_DEL 0.219 4.228 1
top_reveal_coretop_instance/core0/trig_u/te_1/secured_signal_5_265
NET DELAY 0.151 4.379 1
top_reveal_coretop_instance/core0/trig_u/te_1/secured_instance_5_56/A->top_reveal_coretop_instance/core0/trig_u/te_1/secured_instance_5_56/Z
SLICE_R34C41A CTOF_DEL 0.219 4.598 13
top_reveal_coretop_instance/core0/trig_u/te_1/secured_signal_5_229
NET DELAY 0.510 5.108 13
top_reveal_coretop_instance/core0/trig_u/te_1/secured_instance_5_52/C->top_reveal_coretop_instance/core0/trig_u/te_1/secured_instance_5_52/Z
SLICE_R35C41C CTOF_DEL 0.211 5.319 3
top_reveal_coretop_instance/core0/trig_u/te_1/secured_signal_5_232
NET DELAY 0.545 5.864 3
top_reveal_coretop_instance/core0/trig_u/te_1/secured_instance_5_32/D->top_reveal_coretop_instance/core0/trig_u/te_1/secured_instance_5_32/Z
SLICE_R36C40D CTOF_DEL 0.211 6.075 1
top_reveal_coretop_instance/core0/trig_u/te_1/secured_signal_5_176
NET DELAY 0.572 6.647 1
top_reveal_coretop_instance/core0/trig_u/te_1/secured_instance_5_23/B->top_reveal_coretop_instance/core0/trig_u/te_1/secured_instance_5_23/Z
SLICE_R36C40B CTOF_DEL 0.219 6.866 1
top_reveal_coretop_instance/core0/trig_u/te_1/secured_signal_5_178
NET DELAY 0.253 7.119 1
top_reveal_coretop_instance/core0/trig_u/te_1/secured_instance_5_13/B->top_reveal_coretop_instance/core0/trig_u/te_1/secured_instance_5_13/Z
SLICE_R36C39B CTOF_DEL 0.219 7.338 1
top_reveal_coretop_instance/core0/trig_u/te_1/secured_signal_5_143
NET DELAY 0.000 7.338 1
top_reveal_coretop_instance/core0/trig_u/te_1/secured_instance_5_251/DF
ENDPOINT 0.000 7.338 1
Destination Clock Path
{
"path_begin":
{
"type":"pin",
"log_name":"OSCA001.OSCA_inst/HFCLKOUT",
"phy_name":"OSCA001.OSCA_inst/HFCLKOUT"
},
"path_end":
{
"type":"pin",
"log_name":"top_reveal_coretop_instance/core0/trig_u/te_1/secured_instance_5_252/CLK",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.te_1.Ibs9e948p5Lino8s[1].ff_inst/CLK"
},
"path_sections":[
{
"type":"site_delay",
"pin0":
{
"log_name":"",
"phy_name":""
},
"pin1":
{
"log_name":"",
"phy_name":""
},
"arrive":6.666,
"delay":0.000
},
{
"type":"net_delay",
"net":
{
"log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_111/secured_signal_0_140",
"phy_name":"CLK"
},
"arrive":9.172,
"delay":2.506
},
{
"type":"site_delay",
"pin0":
{
"log_name":"",
"phy_name":""
},
"pin1":
{
"log_name":"",
"phy_name":""
},
"arrive":9.172,
"delay":0.000
}
]
}
Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout
---------------------------------------- ------------------------ ---------------- --------- --------------------- ------
CONSTRAINT 0.000 6.666 1
OSCA001.OSCA_inst/HFCLKOUT OSC_CORE_OSC_CORE_R1C137 CLOCK LATENCY 0.000 6.666 343
top_reveal_coretop_instance/core0/tm_u/secured_instance_1_111/secured_signal_0_140
NET DELAY 2.506 9.172 343
{top_reveal_coretop_instance/core0/trig_u/te_1/secured_instance_5_252/CLK top_reveal_coretop_instance/core0/trig_u/te_1/secured_instance_5_251/CLK}
CLOCK PIN 0.000 9.172 1
Uncertainty -(0.000) 9.172
Common Path Skew 0.118 9.290
Setup time -(-0.058) 9.348
---------------------------------------- ------------------------ ---------------- --------- --------------------- ------
Required Time 9.348
Arrival Time -(7.337)
---------------------------------------- ------------------------ ---------------- --------- --------------------- ------
Path Slack (Passed) 2.010
++++ Path 8 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
Path Begin : top_reveal_coretop_instance/core0/trig_u/te_1/secured_instance_5_130/secured_instance_4_16/Q (SLICE_R32C39C)
Path End : top_reveal_coretop_instance/core0/trig_u/te_1/secured_instance_5_269/DF (SLICE_R36C42B)
Source Clock : CLK (R)
Destination Clock: CLK (R)
Logic Level : 8
Delay Ratio : 59.2% (route), 40.8% (logic)
Clock Skew : -0.148 ns
Setup Constraint : 6.666 ns
Common Path Skew : 0.118 ns
Path Slack : 2.089 ns (Passed)
Source Clock Path
{
"path_begin":
{
"type":"pin",
"log_name":"OSCA001.OSCA_inst/HFCLKOUT",
"phy_name":"OSCA001.OSCA_inst/HFCLKOUT"
},
"path_end":
{
"type":"pin",
"log_name":"top_reveal_coretop_instance/core0/trig_u/te_1/secured_instance_5_130/secured_instance_4_17/CLK",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.te_1.IcJqK4vCkkI3A42Eer0fAh4HlL8ecj7IJ.Iolb7JEGql8[7].ff_inst/CLK"
},
"path_sections":[
{
"type":"net_delay",
"net":
{
"log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_111/secured_signal_0_140",
"phy_name":"CLK"
},
"arrive":2.654,
"delay":2.654
},
{
"type":"site_delay",
"pin0":
{
"log_name":"",
"phy_name":""
},
"pin1":
{
"log_name":"",
"phy_name":""
},
"arrive":2.654,
"delay":0.000
}
]
}
Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout
---------------------------------------- ------------------------ ---------------- --------- --------------------- ------
OSCA001.OSCA_inst/HFCLKOUT OSC_CORE_OSC_CORE_R1C137 CLOCK LATENCY 0.000 0.000 343
top_reveal_coretop_instance/core0/tm_u/secured_instance_1_111/secured_signal_0_140
NET DELAY 2.654 2.654 343
{top_reveal_coretop_instance/core0/trig_u/te_1/secured_instance_5_130/secured_instance_4_17/CLK top_reveal_coretop_instance/core0/trig_u/te_1/secured_instance_5_130/secured_instance_4_16/CLK}
CLOCK PIN 0.000 2.654 1
Data Path
{
"path_begin":
{
"type":"pin",
"log_name":"top_reveal_coretop_instance/core0/trig_u/te_1/secured_instance_5_130/secured_instance_4_16/Q",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.te_1.IcJqK4vCkkI3A42Eer0fAh4HlL8ecj7IJ.Iolb7JEGql8[7].ff_inst/Q1"
},
"path_end":
{
"type":"pin",
"log_name":"top_reveal_coretop_instance/core0/trig_u/te_1/secured_instance_5_269/DF",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.te_1.Ibs9e948p5Lino8s[2].ff_inst/DI0"
},
"path_sections":[
{
"type":"site_delay",
"pin0":
{
"log_name":"top_reveal_coretop_instance/core0/trig_u/te_1/secured_instance_5_130/secured_instance_4_16/CLK",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.te_1.IcJqK4vCkkI3A42Eer0fAh4HlL8ecj7IJ.Iolb7JEGql8[7].ff_inst/CLK"
},
"pin1":
{
"log_name":"top_reveal_coretop_instance/core0/trig_u/te_1/secured_instance_5_130/secured_instance_4_16/Q",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.te_1.IcJqK4vCkkI3A42Eer0fAh4HlL8ecj7IJ.Iolb7JEGql8[7].ff_inst/Q1"
},
"arrive":2.956,
"delay":0.302
},
{
"type":"net_delay",
"net":
{
"log_name":"top_reveal_coretop_instance/core0/trig_u/te_1/secured_instance_5_130/secured_signal_4_19",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.te_1.Ne0ferKx8u[6]"
},
"arrive":3.431,
"delay":0.475
},
{
"type":"site_delay",
"pin0":
{
"log_name":"top_reveal_coretop_instance/core0/trig_u/te_1/secured_instance_5_171/C",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.te_1.Id0Fpm3lKdnky06fy7GCqdCteAqbp9B9wt2oxnGmLv9k18s3puImp/A1"
},
"pin1":
{
"log_name":"top_reveal_coretop_instance/core0/trig_u/te_1/secured_instance_5_171/Z",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.te_1.Id0Fpm3lKdnky06fy7GCqdCteAqbp9B9wt2oxnGmLv9k18s3puImp/OFX0"
},
"arrive":3.718,
"delay":0.287
},
{
"type":"net_delay",
"net":
{
"log_name":"top_reveal_coretop_instance/core0/trig_u/te_1/secured_signal_5_263",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.te_1.N1zb4q7e"
},
"arrive":4.009,
"delay":0.291
},
{
"type":"site_delay",
"pin0":
{
"log_name":"top_reveal_coretop_instance/core0/trig_u/te_1/secured_instance_5_103/A",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.te_1.IdJ4onDdADcnIz5f1ociu3Ga5Jk8b2w7BLJcqk4q9rIh7I1nxDmK5rfb9LJHv19/C1"
},
"pin1":
{
"log_name":"top_reveal_coretop_instance/core0/trig_u/te_1/secured_instance_5_103/Z",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.te_1.IdJ4onDdADcnIz5f1ociu3Ga5Jk8b2w7BLJcqk4q9rIh7I1nxDmK5rfb9LJHv19/F1"
},
"arrive":4.228,
"delay":0.219
},
{
"type":"net_delay",
"net":
{
"log_name":"top_reveal_coretop_instance/core0/trig_u/te_1/secured_signal_5_265",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.te_1.NcKgGbyr271p2HalK45ancu"
},
"arrive":4.379,
"delay":0.151
},
{
"type":"site_delay",
"pin0":
{
"log_name":"top_reveal_coretop_instance/core0/trig_u/te_1/secured_instance_5_56/A",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.te_1.Ivdr2j6ueqmknyBJ70H2JLqd0rjfyxFek56mwy7FvuLdnvChAl0vE4AjFcg6bKbt/C1"
},
"pin1":
{
"log_name":"top_reveal_coretop_instance/core0/trig_u/te_1/secured_instance_5_56/Z",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.te_1.Ivdr2j6ueqmknyBJ70H2JLqd0rjfyxFek56mwy7FvuLdnvChAl0vE4AjFcg6bKbt/F1"
},
"arrive":4.590,
"delay":0.211
},
{
"type":"net_delay",
"net":
{
"log_name":"top_reveal_coretop_instance/core0/trig_u/te_1/secured_signal_5_229",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.te_1.Nf0kwkFfyeAhG23zmgs7s1ILf7amD1"
},
"arrive":5.145,
"delay":0.555
},
{
"type":"site_delay",
"pin0":
{
"log_name":"top_reveal_coretop_instance/core0/trig_u/te_1/secured_instance_5_116/C",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.te_1.I90662p7Hpu3qCEcf4t1F2GfLxasoqmqfLvvbL7g4rnvrrfzwru9GjJpAt7Ig/D1"
},
"pin1":
{
"log_name":"top_reveal_coretop_instance/core0/trig_u/te_1/secured_instance_5_116/Z",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.te_1.I90662p7Hpu3qCEcf4t1F2GfLxasoqmqfLvvbL7g4rnvrrfzwru9GjJpAt7Ig/F1"
},
"arrive":5.356,
"delay":0.211
},
{
"type":"net_delay",
"net":
{
"log_name":"top_reveal_coretop_instance/core0/trig_u/te_1/secured_signal_5_228",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.te_1.NbbHKib8byGBwLrz9njvAq3LbKx8u"
},
"arrive":5.869,
"delay":0.513
},
{
"type":"site_delay",
"pin0":
{
"log_name":"top_reveal_coretop_instance/core0/trig_u/te_1/secured_instance_5_25/A",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.te_1.IbHkKCEKFJ91qEjLJqgfdrksIKJB7zsfIlx6lpGJFctebe/A0"
},
"pin1":
{
"log_name":"top_reveal_coretop_instance/core0/trig_u/te_1/secured_instance_5_25/Z",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.te_1.IbHkKCEKFJ91qEjLJqgfdrksIKJB7zsfIlx6lpGJFctebe/F0"
},
"arrive":6.088,
"delay":0.219
},
{
"type":"net_delay",
"net":
{
"log_name":"top_reveal_coretop_instance/core0/trig_u/te_1/secured_signal_5_248",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.te_1.NqdwhakvykjcE27il4y5uFre"
},
"arrive":6.341,
"delay":0.253
},
{
"type":"site_delay",
"pin0":
{
"log_name":"top_reveal_coretop_instance/core0/trig_u/te_1/secured_instance_5_21/D",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.te_1.IbgcJyCyk459A4Jb9Ak9akj8pLsl6sxtukk3mjc9AJp0beKgr/C0"
},
"pin1":
{
"log_name":"top_reveal_coretop_instance/core0/trig_u/te_1/secured_instance_5_21/Z",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.te_1.IbgcJyCyk459A4Jb9Ak9akj8pLsl6sxtukk3mjc9AJp0beKgr/F0"
},
"arrive":6.552,
"delay":0.211
},
{
"type":"net_delay",
"net":
{
"log_name":"top_reveal_coretop_instance/core0/trig_u/te_1/secured_signal_5_231",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.te_1.NjzhBI1241n2jK8aKt1kKDmrtwr"
},
"arrive":7.041,
"delay":0.489
},
{
"type":"site_delay",
"pin0":
{
"log_name":"top_reveal_coretop_instance/core0/trig_u/te_1/secured_instance_5_11/D",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.te_1.Ibs9e948p5Lino8s[2].ff_inst/D0"
},
"pin1":
{
"log_name":"top_reveal_coretop_instance/core0/trig_u/te_1/secured_instance_5_11/Z",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.te_1.Ibs9e948p5Lino8s[2].ff_inst/F0"
},
"arrive":7.260,
"delay":0.219
},
{
"type":"net_delay",
"net":
{
"log_name":"top_reveal_coretop_instance/core0/trig_u/te_1/secured_signal_5_141",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.te_1.NesHrJ6xhvdHq10okjbh[2]"
},
"arrive":7.260,
"delay":0.000
},
{
"type":"site_delay",
"pin0":
{
"log_name":"",
"phy_name":""
},
"pin1":
{
"log_name":"",
"phy_name":""
},
"arrive":7.260,
"delay":0.000
}
]
}
Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout
---------------------------------------- ------------------------ ---------------- --------- --------------------- ------
top_reveal_coretop_instance/core0/trig_u/te_1/secured_instance_5_130/secured_instance_4_16/CLK->top_reveal_coretop_instance/core0/trig_u/te_1/secured_instance_5_130/secured_instance_4_16/Q
SLICE_R32C39C REG_DEL 0.302 2.956 1
top_reveal_coretop_instance/core0/trig_u/te_1/secured_instance_5_130/secured_signal_4_19
NET DELAY 0.475 3.431 1
top_reveal_coretop_instance/core0/trig_u/te_1/secured_instance_5_171/C->top_reveal_coretop_instance/core0/trig_u/te_1/secured_instance_5_171/Z
SLICE_R34C39A CTOOF_DEL 0.287 3.718 1
top_reveal_coretop_instance/core0/trig_u/te_1/secured_signal_5_263
NET DELAY 0.291 4.009 1
top_reveal_coretop_instance/core0/trig_u/te_1/secured_instance_5_103/A->top_reveal_coretop_instance/core0/trig_u/te_1/secured_instance_5_103/Z
SLICE_R34C41C CTOF_DEL 0.219 4.228 1
top_reveal_coretop_instance/core0/trig_u/te_1/secured_signal_5_265
NET DELAY 0.151 4.379 1
top_reveal_coretop_instance/core0/trig_u/te_1/secured_instance_5_56/A->top_reveal_coretop_instance/core0/trig_u/te_1/secured_instance_5_56/Z
SLICE_R34C41A CTOF_DEL 0.211 4.590 13
top_reveal_coretop_instance/core0/trig_u/te_1/secured_signal_5_229
NET DELAY 0.555 5.145 13
top_reveal_coretop_instance/core0/trig_u/te_1/secured_instance_5_116/C->top_reveal_coretop_instance/core0/trig_u/te_1/secured_instance_5_116/Z
SLICE_R36C41C CTOF_DEL 0.211 5.356 15
top_reveal_coretop_instance/core0/trig_u/te_1/secured_signal_5_228
NET DELAY 0.513 5.869 15
top_reveal_coretop_instance/core0/trig_u/te_1/secured_instance_5_25/A->top_reveal_coretop_instance/core0/trig_u/te_1/secured_instance_5_25/Z
SLICE_R35C41D CTOF_DEL 0.219 6.088 1
top_reveal_coretop_instance/core0/trig_u/te_1/secured_signal_5_248
NET DELAY 0.253 6.341 1
top_reveal_coretop_instance/core0/trig_u/te_1/secured_instance_5_21/D->top_reveal_coretop_instance/core0/trig_u/te_1/secured_instance_5_21/Z
SLICE_R35C39D CTOF_DEL 0.211 6.552 3
top_reveal_coretop_instance/core0/trig_u/te_1/secured_signal_5_231
NET DELAY 0.489 7.041 3
top_reveal_coretop_instance/core0/trig_u/te_1/secured_instance_5_11/D->top_reveal_coretop_instance/core0/trig_u/te_1/secured_instance_5_11/Z
SLICE_R36C42B CTOF_DEL 0.219 7.260 1
top_reveal_coretop_instance/core0/trig_u/te_1/secured_signal_5_141
NET DELAY 0.000 7.260 1
top_reveal_coretop_instance/core0/trig_u/te_1/secured_instance_5_269/DF
ENDPOINT 0.000 7.260 1
Destination Clock Path
{
"path_begin":
{
"type":"pin",
"log_name":"OSCA001.OSCA_inst/HFCLKOUT",
"phy_name":"OSCA001.OSCA_inst/HFCLKOUT"
},
"path_end":
{
"type":"pin",
"log_name":"top_reveal_coretop_instance/core0/trig_u/te_1/secured_instance_5_269/CLK",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.te_1.Ibs9e948p5Lino8s[2].ff_inst/CLK"
},
"path_sections":[
{
"type":"site_delay",
"pin0":
{
"log_name":"",
"phy_name":""
},
"pin1":
{
"log_name":"",
"phy_name":""
},
"arrive":6.666,
"delay":0.000
},
{
"type":"net_delay",
"net":
{
"log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_111/secured_signal_0_140",
"phy_name":"CLK"
},
"arrive":9.172,
"delay":2.506
},
{
"type":"site_delay",
"pin0":
{
"log_name":"",
"phy_name":""
},
"pin1":
{
"log_name":"",
"phy_name":""
},
"arrive":9.172,
"delay":0.000
}
]
}
Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout
---------------------------------------- ------------------------ ---------------- --------- --------------------- ------
CONSTRAINT 0.000 6.666 1
OSCA001.OSCA_inst/HFCLKOUT OSC_CORE_OSC_CORE_R1C137 CLOCK LATENCY 0.000 6.666 343
top_reveal_coretop_instance/core0/tm_u/secured_instance_1_111/secured_signal_0_140
NET DELAY 2.506 9.172 343
top_reveal_coretop_instance/core0/trig_u/te_1/secured_instance_5_269/CLK
CLOCK PIN 0.000 9.172 1
Uncertainty -(0.000) 9.172
Common Path Skew 0.118 9.290
Setup time -(-0.059) 9.349
---------------------------------------- ------------------------ ---------------- --------- --------------------- ------
Required Time 9.349
Arrival Time -(7.259)
---------------------------------------- ------------------------ ---------------- --------- --------------------- ------
Path Slack (Passed) 2.089
++++ Path 9 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
Path Begin : top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_475/Q (SLICE_R43C39B)
Path End : {top_reveal_coretop_instance/core0/trig_u/te_1/secured_instance_5_250/CE top_reveal_coretop_instance/core0/trig_u/te_1/secured_instance_5_259/CE} (SLICE_R35C42D)
Source Clock : CLK (R)
Destination Clock: CLK (R)
Logic Level : 8
Delay Ratio : 57.3% (route), 42.7% (logic)
Clock Skew : -0.148 ns
Setup Constraint : 6.666 ns
Common Path Skew : 0.031 ns
Path Slack : 2.100 ns (Passed)
Source Clock Path
{
"path_begin":
{
"type":"pin",
"log_name":"OSCA001.OSCA_inst/HFCLKOUT",
"phy_name":"OSCA001.OSCA_inst/HFCLKOUT"
},
"path_end":
{
"type":"pin",
"log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_474/CLK",
"phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.IFIzFfl7/CLK"
},
"path_sections":[
{
"type":"net_delay",
"net":
{
"log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_111/secured_signal_0_140",
"phy_name":"CLK"
},
"arrive":2.654,
"delay":2.654
},
{
"type":"site_delay",
"pin0":
{
"log_name":"",
"phy_name":""
},
"pin1":
{
"log_name":"",
"phy_name":""
},
"arrive":2.654,
"delay":0.000
}
]
}
Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout
---------------------------------------- ------------------------ ---------------- -------- --------------------- ------
OSCA001.OSCA_inst/HFCLKOUT OSC_CORE_OSC_CORE_R1C137 CLOCK LATENCY 0.000 0.000 343
top_reveal_coretop_instance/core0/tm_u/secured_instance_1_111/secured_signal_0_140
NET DELAY 2.654 2.654 343
{top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_474/CLK top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_475/CLK}
CLOCK PIN 0.000 2.654 1
Data Path
{
"path_begin":
{
"type":"pin",
"log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_475/Q",
"phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.IFIzFfl7/Q1"
},
"path_end":
{
"type":"pin",
"log_name":"{top_reveal_coretop_instance/core0/trig_u/te_1/secured_instance_5_250/CE top_reveal_coretop_instance/core0/trig_u/te_1/secured_instance_5_259/CE}",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.te_0.Igkires9KlvGay1twy0arLFqw5G7rDhHILCwqk2F/CE"
},
"path_sections":[
{
"type":"site_delay",
"pin0":
{
"log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_475/CLK",
"phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.IFIzFfl7/CLK"
},
"pin1":
{
"log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_475/Q",
"phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.IFIzFfl7/Q1"
},
"arrive":2.970,
"delay":0.316
},
{
"type":"net_delay",
"net":
{
"log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_signal_2_162",
"phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.Ne3nFILyd5q303pD9C8l[3]"
},
"arrive":3.152,
"delay":0.182
},
{
"type":"site_delay",
"pin0":
{
"log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_227/B",
"phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.IFIzFfl7/D0"
},
"pin1":
{
"log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_227/Z",
"phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.IFIzFfl7/F0"
},
"arrive":3.371,
"delay":0.219
},
{
"type":"net_delay",
"net":
{
"log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_signal_2_573",
"phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.NFIzFfl7"
},
"arrive":3.522,
"delay":0.151
},
{
"type":"site_delay",
"pin0":
{
"log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_204/D",
"phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.IbwAh4/C1"
},
"pin1":
{
"log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_204/Z",
"phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.IbwAh4/F1"
},
"arrive":3.733,
"delay":0.211
},
{
"type":"net_delay",
"net":
{
"log_name":"top_reveal_coretop_instance/core0/tm_u/secured_signal_1_158",
"phy_name":"top_reveal_coretop_instance.core0.wen"
},
"arrive":3.955,
"delay":0.222
},
{
"type":"site_delay",
"pin0":
{
"log_name":"top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_13_13/C",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.decode_u.Ifii7B9Hs8lzeEzEerH8[1]/D1"
},
"pin1":
{
"log_name":"top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_13_13/Z",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.decode_u.Ifii7B9Hs8lzeEzEerH8[1]/F1"
},
"arrive":4.174,
"delay":0.219
},
{
"type":"net_delay",
"net":
{
"log_name":"top_reveal_coretop_instance/core0/trig_u/decode_u/secured_signal_13_26",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.decode_u.Nfh7o18"
},
"arrive":4.321,
"delay":0.147
},
{
"type":"site_delay",
"pin0":
{
"log_name":"top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_13_2/A",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.decode_u.Ifii7B9HtdLGHq8BId7c[0]/B1"
},
"pin1":
{
"log_name":"top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_13_2/Z",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.decode_u.Ifii7B9HtdLGHq8BId7c[0]/F1"
},
"arrive":4.532,
"delay":0.211
},
{
"type":"net_delay",
"net":
{
"log_name":"top_reveal_coretop_instance/core0/trig_u/decode_u/secured_signal_13_28",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.decode_u.Nfh7o1A"
},
"arrive":4.834,
"delay":0.302
},
{
"type":"site_delay",
"pin0":
{
"log_name":"top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_13_0/B",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.te_1.IftBDimCLevkJyxK8tFs1aelebzCGdGrfrz8djx4/B1"
},
"pin1":
{
"log_name":"top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_13_0/Z",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.te_1.IftBDimCLevkJyxK8tFs1aelebzCGdGrfrz8djx4/F1"
},
"arrive":5.053,
"delay":0.219
},
{
"type":"net_delay",
"net":
{
"log_name":"top_reveal_coretop_instance/core0/trig_u/te_1/secured_signal_5_38",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.wen_te[1]"
},
"arrive":5.320,
"delay":0.267
},
{
"type":"site_delay",
"pin0":
{
"log_name":"top_reveal_coretop_instance/core0/trig_u/te_1/secured_instance_5_97/B",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.te_1.I6b9Frxl43wK3abb4rbja8iDcJ23m29Ay8v7sCgH9pJpr0xwcml/A1"
},
"pin1":
{
"log_name":"top_reveal_coretop_instance/core0/trig_u/te_1/secured_instance_5_97/Z",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.te_1.I6b9Frxl43wK3abb4rbja8iDcJ23m29Ay8v7sCgH9pJpr0xwcml/F1"
},
"arrive":5.531,
"delay":0.211
},
{
"type":"net_delay",
"net":
{
"log_name":"top_reveal_coretop_instance/core0/trig_u/te_1/secured_signal_5_237",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.te_1.NhmBleLHjE3eHqD8o"
},
"arrive":5.914,
"delay":0.383
},
{
"type":"site_delay",
"pin0":
{
"log_name":"top_reveal_coretop_instance/core0/trig_u/te_1/secured_instance_5_82/D",
"phy_name":"top_reveal_coretop_instance.core0.tm_u.Ikomq88cs6dK8kee74AF1gyluGyv9zg6nDrws[0]/A1"
},
"pin1":
{
"log_name":"top_reveal_coretop_instance/core0/trig_u/te_1/secured_instance_5_82/Z",
"phy_name":"top_reveal_coretop_instance.core0.tm_u.Ikomq88cs6dK8kee74AF1gyluGyv9zg6nDrws[0]/F1"
},
"arrive":6.125,
"delay":0.211
},
{
"type":"net_delay",
"net":
{
"log_name":"top_reveal_coretop_instance/core0/trig_u/te_1/secured_signal_5_212",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.te_1.NHG50HH61gwvaf2n3u9E67E2qllB"
},
"arrive":6.914,
"delay":0.789
},
{
"type":"site_delay",
"pin0":
{
"log_name":"",
"phy_name":""
},
"pin1":
{
"log_name":"",
"phy_name":""
},
"arrive":6.914,
"delay":0.000
}
]
}
Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout
---------------------------------------- ------------------------ ---------------- -------- --------------------- ------
top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_475/CLK->top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_475/Q
SLICE_R43C39B REG_DEL 0.316 2.970 4
top_reveal_coretop_instance/core0/jtag_int_u/secured_signal_2_162
NET DELAY 0.182 3.152 4
top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_227/B->top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_227/Z
SLICE_R43C39B CTOF_DEL 0.219 3.371 1
top_reveal_coretop_instance/core0/jtag_int_u/secured_signal_2_573
NET DELAY 0.151 3.522 1
top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_204/D->top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_204/Z
SLICE_R43C39D CTOF_DEL 0.211 3.733 7
top_reveal_coretop_instance/core0/tm_u/secured_signal_1_158
NET DELAY 0.222 3.955 7
top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_13_13/C->top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_13_13/Z
SLICE_R41C39A CTOF_DEL 0.219 4.174 3
top_reveal_coretop_instance/core0/trig_u/decode_u/secured_signal_13_26
NET DELAY 0.147 4.321 3
top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_13_2/A->top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_13_2/Z
SLICE_R41C39B CTOF_DEL 0.211 4.532 4
top_reveal_coretop_instance/core0/trig_u/decode_u/secured_signal_13_28
NET DELAY 0.302 4.834 4
top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_13_0/B->top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_13_0/Z
SLICE_R41C41D CTOF_DEL 0.219 5.053 5
top_reveal_coretop_instance/core0/trig_u/te_1/secured_signal_5_38
NET DELAY 0.267 5.320 5
top_reveal_coretop_instance/core0/trig_u/te_1/secured_instance_5_97/B->top_reveal_coretop_instance/core0/trig_u/te_1/secured_instance_5_97/Z
SLICE_R41C42D CTOF_DEL 0.211 5.531 8
top_reveal_coretop_instance/core0/trig_u/te_1/secured_signal_5_237
NET DELAY 0.383 5.914 8
top_reveal_coretop_instance/core0/trig_u/te_1/secured_instance_5_82/D->top_reveal_coretop_instance/core0/trig_u/te_1/secured_instance_5_82/Z
SLICE_R42C43B CTOF_DEL 0.211 6.125 2
top_reveal_coretop_instance/core0/trig_u/te_1/secured_signal_5_212
NET DELAY 0.789 6.914 2
{top_reveal_coretop_instance/core0/trig_u/te_1/secured_instance_5_250/CE top_reveal_coretop_instance/core0/trig_u/te_1/secured_instance_5_259/CE}
ENDPOINT 0.000 6.914 1
Destination Clock Path
{
"path_begin":
{
"type":"pin",
"log_name":"OSCA001.OSCA_inst/HFCLKOUT",
"phy_name":"OSCA001.OSCA_inst/HFCLKOUT"
},
"path_end":
{
"type":"pin",
"log_name":"top_reveal_coretop_instance/core0/trig_u/te_1/secured_instance_5_250/CLK",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.te_0.Igkires9KlvGay1twy0arLFqw5G7rDhHILCwqk2F/CLK"
},
"path_sections":[
{
"type":"site_delay",
"pin0":
{
"log_name":"",
"phy_name":""
},
"pin1":
{
"log_name":"",
"phy_name":""
},
"arrive":6.666,
"delay":0.000
},
{
"type":"net_delay",
"net":
{
"log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_111/secured_signal_0_140",
"phy_name":"CLK"
},
"arrive":9.172,
"delay":2.506
},
{
"type":"site_delay",
"pin0":
{
"log_name":"",
"phy_name":""
},
"pin1":
{
"log_name":"",
"phy_name":""
},
"arrive":9.172,
"delay":0.000
}
]
}
Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout
---------------------------------------- ------------------------ ---------------- -------- --------------------- ------
CONSTRAINT 0.000 6.666 1
OSCA001.OSCA_inst/HFCLKOUT OSC_CORE_OSC_CORE_R1C137 CLOCK LATENCY 0.000 6.666 343
top_reveal_coretop_instance/core0/tm_u/secured_instance_1_111/secured_signal_0_140
NET DELAY 2.506 9.172 343
{top_reveal_coretop_instance/core0/trig_u/te_1/secured_instance_5_250/CLK top_reveal_coretop_instance/core0/trig_u/te_1/secured_instance_5_259/CLK}
CLOCK PIN 0.000 9.172 1
Uncertainty -(0.000) 9.172
Common Path Skew 0.031 9.203
Setup time -(0.189) 9.014
---------------------------------------- ------------------------ ---------------- -------- --------------------- ------
Required Time 9.014
Arrival Time -(6.913)
---------------------------------------- ------------------------ ---------------- -------- --------------------- ------
Path Slack (Passed) 2.100
++++ Path 10 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
Path Begin : top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_9_162/Q (SLICE_R38C44D)
Path End : top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_9_95/DF (SLICE_R34C45B)
Source Clock : CLK (R)
Destination Clock: CLK (R)
Logic Level : 7
Delay Ratio : 58.2% (route), 41.8% (logic)
Clock Skew : -0.148 ns
Setup Constraint : 6.666 ns
Common Path Skew : 0.031 ns
Path Slack : 2.058 ns (Passed)
Source Clock Path
{
"path_begin":
{
"type":"pin",
"log_name":"OSCA001.OSCA_inst/HFCLKOUT",
"phy_name":"OSCA001.OSCA_inst/HFCLKOUT"
},
"path_end":
{
"type":"pin",
"log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_9_162/CLK",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.IdqzHCkaae4atgf2l52v7bjIdckyy6Dba/CLK"
},
"path_sections":[
{
"type":"net_delay",
"net":
{
"log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_111/secured_signal_0_140",
"phy_name":"CLK"
},
"arrive":2.654,
"delay":2.654
},
{
"type":"site_delay",
"pin0":
{
"log_name":"",
"phy_name":""
},
"pin1":
{
"log_name":"",
"phy_name":""
},
"arrive":2.654,
"delay":0.000
}
]
}
Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout
---------------------------------------- ------------------------ ---------------- --------- --------------------- ------
OSCA001.OSCA_inst/HFCLKOUT OSC_CORE_OSC_CORE_R1C137 CLOCK LATENCY 0.000 0.000 343
top_reveal_coretop_instance/core0/tm_u/secured_instance_1_111/secured_signal_0_140
NET DELAY 2.654 2.654 343
{top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_9_162/CLK top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_9_161/CLK}
CLOCK PIN 0.000 2.654 1
Data Path
{
"path_begin":
{
"type":"pin",
"log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_9_162/Q",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.IdqzHCkaae4atgf2l52v7bjIdckyy6Dba/Q0"
},
"path_end":
{
"type":"pin",
"log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_9_95/DF",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.Ie0fvlc4DA.ff_inst/DI0"
},
"path_sections":[
{
"type":"site_delay",
"pin0":
{
"log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_9_162/CLK",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.IdqzHCkaae4atgf2l52v7bjIdckyy6Dba/CLK"
},
"pin1":
{
"log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_9_162/Q",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.IdqzHCkaae4atgf2l52v7bjIdckyy6Dba/Q0"
},
"arrive":2.955,
"delay":0.301
},
{
"type":"net_delay",
"net":
{
"log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_signal_9_93",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.NwKq1FBmzsxu1ADHBnhjJ[15]"
},
"arrive":3.559,
"delay":0.604
},
{
"type":"site_delay",
"pin0":
{
"log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_9_73/A",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.IdqzHCkaae4atgf2l52v7bjIdckyy6Dba/C1"
},
"pin1":
{
"log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_9_73/Z",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.IdqzHCkaae4atgf2l52v7bjIdckyy6Dba/F1"
},
"arrive":3.770,
"delay":0.211
},
{
"type":"net_delay",
"net":
{
"log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_signal_9_198",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.NjzhBHr2GnijAwxbFvzrE3K3ECr"
},
"arrive":4.046,
"delay":0.276
},
{
"type":"site_delay",
"pin0":
{
"log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_9_74/D",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.IdqzHCkaae4atgf2l52v7bjIdckyy6Dba/B0"
},
"pin1":
{
"log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_9_74/Z",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.IdqzHCkaae4atgf2l52v7bjIdckyy6Dba/F0"
},
"arrive":4.257,
"delay":0.211
},
{
"type":"net_delay",
"net":
{
"log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_signal_9_159",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.Nf4H8HwGahC46npu56pa29b9rg99r5"
},
"arrive":4.964,
"delay":0.707
},
{
"type":"site_delay",
"pin0":
{
"log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_9_75/A0",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.IqdwgKi79qkEwzIGgJpAaDba/A0"
},
"pin1":
{
"log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_9_75/S1",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.IqdwgKi79qkEwzIGgJpAaDba/F1"
},
"arrive":5.416,
"delay":0.452
},
{
"type":"net_delay",
"net":
{
"log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_signal_9_130",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.NbcCj0hnGbwlAcxGFJcDfs1p4kmCr"
},
"arrive":5.925,
"delay":0.509
},
{
"type":"site_delay",
"pin0":
{
"log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_9_52/B",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.Ipfam7xdGoKjHfkmkJtvyczIdgq1CCkF2i[2]/C1"
},
"pin1":
{
"log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_9_52/Z",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.Ipfam7xdGoKjHfkmkJtvyczIdgq1CCkF2i[2]/F1"
},
"arrive":6.145,
"delay":0.219
},
{
"type":"net_delay",
"net":
{
"log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_signal_9_163",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.Ne1IDB4vm9"
},
"arrive":6.305,
"delay":0.160
},
{
"type":"site_delay",
"pin0":
{
"log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_9_90/D",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.ImmjHJuzggHxba/A1"
},
"pin1":
{
"log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_9_90/Z",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.ImmjHJuzggHxba/OFX0"
},
"arrive":6.592,
"delay":0.287
},
{
"type":"net_delay",
"net":
{
"log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_signal_9_165",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.NKvlC"
},
"arrive":6.986,
"delay":0.394
},
{
"type":"site_delay",
"pin0":
{
"log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_9_58/B",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.Ie0fvlc4DA.ff_inst/D0"
},
"pin1":
{
"log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_9_58/Z",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.Ie0fvlc4DA.ff_inst/F0"
},
"arrive":7.205,
"delay":0.219
},
{
"type":"net_delay",
"net":
{
"log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_signal_9_150",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.Ncd"
},
"arrive":7.205,
"delay":0.000
},
{
"type":"site_delay",
"pin0":
{
"log_name":"",
"phy_name":""
},
"pin1":
{
"log_name":"",
"phy_name":""
},
"arrive":7.205,
"delay":0.000
}
]
}
Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout
---------------------------------------- ------------------------ ---------------- --------- --------------------- ------
top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_9_162/CLK->top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_9_162/Q
SLICE_R38C44D REG_DEL 0.301 2.955 3
top_reveal_coretop_instance/core0/trig_u/tu_3/secured_signal_9_93
NET DELAY 0.604 3.559 3
top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_9_73/A->top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_9_73/Z
SLICE_R38C44D CTOF_DEL 0.211 3.770 1
top_reveal_coretop_instance/core0/trig_u/tu_3/secured_signal_9_198
NET DELAY 0.276 4.046 1
top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_9_74/D->top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_9_74/Z
SLICE_R38C44D CTOF_DEL 0.211 4.257 1
top_reveal_coretop_instance/core0/trig_u/tu_3/secured_signal_9_159
NET DELAY 0.707 4.964 1
top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_9_75/A0->top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_9_75/S1
SLICE_R41C54A CTOF_DEL 0.452 5.416 3
top_reveal_coretop_instance/core0/trig_u/tu_3/secured_signal_9_130
NET DELAY 0.509 5.925 3
top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_9_52/B->top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_9_52/Z
SLICE_R39C45D CTOF_DEL 0.219 6.145 1
top_reveal_coretop_instance/core0/trig_u/tu_3/secured_signal_9_163
NET DELAY 0.160 6.305 1
top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_9_90/D->top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_9_90/Z
SLICE_R39C45C CTOOF_DEL 0.287 6.592 1
top_reveal_coretop_instance/core0/trig_u/tu_3/secured_signal_9_165
NET DELAY 0.394 6.986 1
top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_9_58/B->top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_9_58/Z
SLICE_R34C45B CTOF_DEL 0.219 7.205 1
top_reveal_coretop_instance/core0/trig_u/tu_3/secured_signal_9_150
NET DELAY 0.000 7.205 1
top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_9_95/DF
ENDPOINT 0.000 7.205 1
Destination Clock Path
{
"path_begin":
{
"type":"pin",
"log_name":"OSCA001.OSCA_inst/HFCLKOUT",
"phy_name":"OSCA001.OSCA_inst/HFCLKOUT"
},
"path_end":
{
"type":"pin",
"log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_9_95/CLK",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.Ie0fvlc4DA.ff_inst/CLK"
},
"path_sections":[
{
"type":"site_delay",
"pin0":
{
"log_name":"",
"phy_name":""
},
"pin1":
{
"log_name":"",
"phy_name":""
},
"arrive":6.666,
"delay":0.000
},
{
"type":"net_delay",
"net":
{
"log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_111/secured_signal_0_140",
"phy_name":"CLK"
},
"arrive":9.172,
"delay":2.506
},
{
"type":"site_delay",
"pin0":
{
"log_name":"",
"phy_name":""
},
"pin1":
{
"log_name":"",
"phy_name":""
},
"arrive":9.172,
"delay":0.000
}
]
}
Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout
---------------------------------------- ------------------------ ---------------- --------- --------------------- ------
CONSTRAINT 0.000 6.666 1
OSCA001.OSCA_inst/HFCLKOUT OSC_CORE_OSC_CORE_R1C137 CLOCK LATENCY 0.000 6.666 343
top_reveal_coretop_instance/core0/tm_u/secured_instance_1_111/secured_signal_0_140
NET DELAY 2.506 9.172 343
{top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_9_95/CLK top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_10_95/CLK}
CLOCK PIN 0.000 9.172 1
Uncertainty -(0.000) 9.172
Common Path Skew 0.031 9.203
Setup time -(-0.059) 9.262
---------------------------------------- ------------------------ ---------------- --------- --------------------- ------
Required Time 9.262
Arrival Time -(7.203)
---------------------------------------- ------------------------ ---------------- --------- --------------------- ------
Path Slack (Passed) 2.058
+++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
End of Detailed Report for timing paths
+++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
##########################################################
4 Hold at Speed Grade m Corner at -40 Degrees
4.1 Endpoint slacks
-------------------------------------------------------
Listing 10 End Points | Slack
-------------------------------------------------------
top_reveal_coretop_instance/core0/tm_u/secured_instance_1_111/secured_instance_0_291/WD0
| 0.086 ns
top_reveal_coretop_instance/core0/tm_u/secured_instance_1_111/secured_instance_0_235/WD0
| 0.092 ns
top_reveal_coretop_instance/core0/tm_u/secured_instance_1_111/secured_instance_0_211/WD3
| 0.104 ns
top_reveal_coretop_instance/core0/tm_u/secured_instance_1_111/secured_instance_0_279/WAD3
| 0.110 ns
top_reveal_coretop_instance/core0/tm_u/secured_instance_1_111/secured_instance_0_235/WAD3
| 0.110 ns
top_reveal_coretop_instance/core0/tm_u/secured_instance_1_111/secured_instance_0_255/WD3
| 0.111 ns
top_reveal_coretop_instance/core0/tm_u/secured_instance_1_111/secured_instance_0_211/WD2
| 0.111 ns
top_reveal_coretop_instance/core0/tm_u/secured_instance_1_111/secured_instance_0_211/WD1
| 0.111 ns
top_reveal_coretop_instance/core0/tm_u/secured_instance_1_111/secured_instance_0_235/WD1
| 0.115 ns
top_reveal_coretop_instance/core0/tm_u/secured_instance_1_111/secured_instance_0_217/WD3
| 0.115 ns
-------------------------------------------------------
|
Hold # of endpoints with negative slack: | 0
|
-------------------------------------------------------
4.2 Detailed Report
XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX
Detail report of critical paths
XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX
+++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
Detailed Report for timing paths
+++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
++++Path 1 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
Path Begin : top_reveal_coretop_instance/core0/tm_u/secured_instance_1_191/Q (SLICE_R42C51D)
Path End : top_reveal_coretop_instance/core0/tm_u/secured_instance_1_111/secured_instance_0_291/WD0 (SLICE_R43C51C)
Source Clock : CLK (R)
Destination Clock: CLK (R)
Logic Level : 1
Delay Ratio : 39.2% (route), 60.8% (logic)
Clock Skew : 0.113 ns
Hold Constraint : 0.000 ns
Common Path Skew : -0.100 ns
Path Slack : 0.086 ns (Passed)
Source Clock Path
{
"path_begin":
{
"type":"pin",
"log_name":"OSCA001.OSCA_inst/HFCLKOUT",
"phy_name":"OSCA001.OSCA_inst/HFCLKOUT"
},
"path_end":
{
"type":"pin",
"log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_191/CLK",
"phy_name":"top_reveal_coretop_instance.core0.tm_u.Ik1sxxgxy6r6i7x5v7iK7e6F7gKEg4hL6Chbd/CLK"
},
"path_sections":[
{
"type":"net_delay",
"net":
{
"log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_111/secured_signal_0_140",
"phy_name":"CLK"
},
"arrive":1.808,
"delay":1.808
},
{
"type":"site_delay",
"pin0":
{
"log_name":"",
"phy_name":""
},
"pin1":
{
"log_name":"",
"phy_name":""
},
"arrive":1.808,
"delay":0.000
}
]
}
Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout
---------------------------------------- ------------------------ ---------------- ------ --------------------- ------
OSCA001.OSCA_inst/HFCLKOUT OSC_CORE_OSC_CORE_R1C137 CLOCK LATENCY 0.000 0.000 344
top_reveal_coretop_instance/core0/tm_u/secured_instance_1_111/secured_signal_0_140
NET DELAY 1.808 1.808 344
{top_reveal_coretop_instance/core0/tm_u/secured_instance_1_191/CLK top_reveal_coretop_instance/core0/tm_u/secured_instance_1_192/CLK}
CLOCK PIN 0.000 1.808 1
Data Path
{
"path_begin":
{
"type":"pin",
"log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_191/Q",
"phy_name":"top_reveal_coretop_instance.core0.tm_u.Ik1sxxgxy6r6i7x5v7iK7e6F7gKEg4hL6Chbd/Q0"
},
"path_end":
{
"type":"pin",
"log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_111/secured_instance_0_291/WD0",
"phy_name":"top_reveal_coretop_instance.core0.tm_u.IxGmp4v571xtocbczv1mJ.Inx0chE2cwnECq.ramw_inst/D1"
},
"path_sections":[
{
"type":"site_delay",
"pin0":
{
"log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_191/CLK",
"phy_name":"top_reveal_coretop_instance.core0.tm_u.Ik1sxxgxy6r6i7x5v7iK7e6F7gKEg4hL6Chbd/CLK"
},
"pin1":
{
"log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_191/Q",
"phy_name":"top_reveal_coretop_instance.core0.tm_u.Ik1sxxgxy6r6i7x5v7iK7e6F7gKEg4hL6Chbd/Q0"
},
"arrive":1.986,
"delay":0.178
},
{
"type":"net_delay",
"net":
{
"log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_111/secured_signal_0_60",
"phy_name":"top_reveal_coretop_instance.core0.tm_u.Niywe27GJcnrher8e[4]"
},
"arrive":2.101,
"delay":0.115
},
{
"type":"site_delay",
"pin0":
{
"log_name":"",
"phy_name":""
},
"pin1":
{
"log_name":"",
"phy_name":""
},
"arrive":2.101,
"delay":0.000
}
]
}
Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout
---------------------------------------- ------------------------ ---------------- ------ --------------------- ------
top_reveal_coretop_instance/core0/tm_u/secured_instance_1_191/CLK->top_reveal_coretop_instance/core0/tm_u/secured_instance_1_191/Q
SLICE_R42C51D REG_DEL 0.178 1.986 2
top_reveal_coretop_instance/core0/tm_u/secured_instance_1_111/secured_signal_0_60
NET DELAY 0.115 2.101 2
top_reveal_coretop_instance/core0/tm_u/secured_instance_1_111/secured_instance_0_291/WD0
ENDPOINT 0.000 2.101 1
Destination Clock Path
{
"path_begin":
{
"type":"pin",
"log_name":"OSCA001.OSCA_inst/HFCLKOUT",
"phy_name":"OSCA001.OSCA_inst/HFCLKOUT"
},
"path_end":
{
"type":"pin",
"log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_111/secured_instance_0_291/WCK",
"phy_name":"top_reveal_coretop_instance.core0.tm_u.IxGmp4v571xtocbczv1mJ.Inx0chE2cwnECq.ramw_inst/CLK"
},
"path_sections":[
{
"type":"site_delay",
"pin0":
{
"log_name":"",
"phy_name":""
},
"pin1":
{
"log_name":"",
"phy_name":""
},
"arrive":0.000,
"delay":0.000
},
{
"type":"net_delay",
"net":
{
"log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_111/secured_signal_0_140",
"phy_name":"CLK"
},
"arrive":1.921,
"delay":1.921
},
{
"type":"site_delay",
"pin0":
{
"log_name":"",
"phy_name":""
},
"pin1":
{
"log_name":"",
"phy_name":""
},
"arrive":1.921,
"delay":0.000
}
]
}
Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout
---------------------------------------- ------------------------ ---------------- ------ --------------------- ------
CONSTRAINT 0.000 0.000 1
OSCA001.OSCA_inst/HFCLKOUT OSC_CORE_OSC_CORE_R1C137 CLOCK LATENCY 0.000 0.000 344
top_reveal_coretop_instance/core0/tm_u/secured_instance_1_111/secured_signal_0_140
NET DELAY 1.921 1.921 344
top_reveal_coretop_instance/core0/tm_u/secured_instance_1_111/secured_instance_0_291/WCK
CLOCK PIN 0.000 1.921 1
Uncertainty 0.000 1.921
Common Path Skew -0.100 1.821
Hold time 0.194 2.015
---------------------------------------- ------------------------ ---------------- ------ --------------------- ------
Required Time -2.015
Arrival Time 2.101
---------------------------------------- ------------------------ ---------------- ------ --------------------- ------
Path Slack (Passed) 0.086
++++ Path 2 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
Path Begin : top_reveal_coretop_instance/core0/tm_u/secured_instance_1_199/Q (SLICE_R47C48B)
Path End : top_reveal_coretop_instance/core0/tm_u/secured_instance_1_111/secured_instance_0_235/WD0 (SLICE_R45C48C)
Source Clock : CLK (R)
Destination Clock: CLK (R)
Logic Level : 1
Delay Ratio : 40.5% (route), 59.5% (logic)
Clock Skew : 0.113 ns
Hold Constraint : 0.000 ns
Common Path Skew : -0.100 ns
Path Slack : 0.092 ns (Passed)
Source Clock Path
{
"path_begin":
{
"type":"pin",
"log_name":"OSCA001.OSCA_inst/HFCLKOUT",
"phy_name":"OSCA001.OSCA_inst/HFCLKOUT"
},
"path_end":
{
"type":"pin",
"log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_199/CLK",
"phy_name":"top_reveal_coretop_instance.core0.tm_u.IrdCbj4w8gHmJJjkfqpCj8yBqe9bwgKsHC[4]/CLK"
},
"path_sections":[
{
"type":"net_delay",
"net":
{
"log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_111/secured_signal_0_140",
"phy_name":"CLK"
},
"arrive":1.808,
"delay":1.808
},
{
"type":"site_delay",
"pin0":
{
"log_name":"",
"phy_name":""
},
"pin1":
{
"log_name":"",
"phy_name":""
},
"arrive":1.808,
"delay":0.000
}
]
}
Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout
---------------------------------------- ------------------------ ---------------- ------ --------------------- ------
OSCA001.OSCA_inst/HFCLKOUT OSC_CORE_OSC_CORE_R1C137 CLOCK LATENCY 0.000 0.000 344
top_reveal_coretop_instance/core0/tm_u/secured_instance_1_111/secured_signal_0_140
NET DELAY 1.808 1.808 344
{top_reveal_coretop_instance/core0/tm_u/secured_instance_1_199/CLK top_reveal_coretop_instance/core0/tm_u/secured_instance_1_200/CLK}
CLOCK PIN 0.000 1.808 1
Data Path
{
"path_begin":
{
"type":"pin",
"log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_199/Q",
"phy_name":"top_reveal_coretop_instance.core0.tm_u.IrdCbj4w8gHmJJjkfqpCj8yBqe9bwgKsHC[4]/Q0"
},
"path_end":
{
"type":"pin",
"log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_111/secured_instance_0_235/WD0",
"phy_name":"top_reveal_coretop_instance.core0.tm_u.IxGmp4v571xtocbczv1mJ.IbxJ0HzEv3gFF21F.ramw_inst/D1"
},
"path_sections":[
{
"type":"site_delay",
"pin0":
{
"log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_199/CLK",
"phy_name":"top_reveal_coretop_instance.core0.tm_u.IrdCbj4w8gHmJJjkfqpCj8yBqe9bwgKsHC[4]/CLK"
},
"pin1":
{
"log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_199/Q",
"phy_name":"top_reveal_coretop_instance.core0.tm_u.IrdCbj4w8gHmJJjkfqpCj8yBqe9bwgKsHC[4]/Q0"
},
"arrive":1.986,
"delay":0.178
},
{
"type":"net_delay",
"net":
{
"log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_111/secured_signal_0_52",
"phy_name":"top_reveal_coretop_instance.core0.tm_u.Niywe27GJcnrher8e[12]"
},
"arrive":2.107,
"delay":0.121
},
{
"type":"site_delay",
"pin0":
{
"log_name":"",
"phy_name":""
},
"pin1":
{
"log_name":"",
"phy_name":""
},
"arrive":2.107,
"delay":0.000
}
]
}
Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout
---------------------------------------- ------------------------ ---------------- ------ --------------------- ------
top_reveal_coretop_instance/core0/tm_u/secured_instance_1_199/CLK->top_reveal_coretop_instance/core0/tm_u/secured_instance_1_199/Q
SLICE_R47C48B REG_DEL 0.178 1.986 2
top_reveal_coretop_instance/core0/tm_u/secured_instance_1_111/secured_signal_0_52
NET DELAY 0.121 2.107 2
top_reveal_coretop_instance/core0/tm_u/secured_instance_1_111/secured_instance_0_235/WD0
ENDPOINT 0.000 2.107 1
Destination Clock Path
{
"path_begin":
{
"type":"pin",
"log_name":"OSCA001.OSCA_inst/HFCLKOUT",
"phy_name":"OSCA001.OSCA_inst/HFCLKOUT"
},
"path_end":
{
"type":"pin",
"log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_111/secured_instance_0_235/WCK",
"phy_name":"top_reveal_coretop_instance.core0.tm_u.IxGmp4v571xtocbczv1mJ.IbxJ0HzEv3gFF21F.ramw_inst/CLK"
},
"path_sections":[
{
"type":"site_delay",
"pin0":
{
"log_name":"",
"phy_name":""
},
"pin1":
{
"log_name":"",
"phy_name":""
},
"arrive":0.000,
"delay":0.000
},
{
"type":"net_delay",
"net":
{
"log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_111/secured_signal_0_140",
"phy_name":"CLK"
},
"arrive":1.921,
"delay":1.921
},
{
"type":"site_delay",
"pin0":
{
"log_name":"",
"phy_name":""
},
"pin1":
{
"log_name":"",
"phy_name":""
},
"arrive":1.921,
"delay":0.000
}
]
}
Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout
---------------------------------------- ------------------------ ---------------- ------ --------------------- ------
CONSTRAINT 0.000 0.000 1
OSCA001.OSCA_inst/HFCLKOUT OSC_CORE_OSC_CORE_R1C137 CLOCK LATENCY 0.000 0.000 344
top_reveal_coretop_instance/core0/tm_u/secured_instance_1_111/secured_signal_0_140
NET DELAY 1.921 1.921 344
top_reveal_coretop_instance/core0/tm_u/secured_instance_1_111/secured_instance_0_235/WCK
CLOCK PIN 0.000 1.921 1
Uncertainty 0.000 1.921
Common Path Skew -0.100 1.821
Hold time 0.194 2.015
---------------------------------------- ------------------------ ---------------- ------ --------------------- ------
Required Time -2.015
Arrival Time 2.107
---------------------------------------- ------------------------ ---------------- ------ --------------------- ------
Path Slack (Passed) 0.092
++++ Path 3 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
Path Begin : top_reveal_coretop_instance/core0/tm_u/secured_instance_1_234/Q (SLICE_R41C49D)
Path End : top_reveal_coretop_instance/core0/tm_u/secured_instance_1_111/secured_instance_0_211/WD3 (SLICE_R39C49C)
Source Clock : CLK (R)
Destination Clock: CLK (R)
Logic Level : 1
Delay Ratio : 42.4% (route), 57.6% (logic)
Clock Skew : 0.113 ns
Hold Constraint : 0.000 ns
Common Path Skew : -0.100 ns
Path Slack : 0.104 ns (Passed)
Source Clock Path
{
"path_begin":
{
"type":"pin",
"log_name":"OSCA001.OSCA_inst/HFCLKOUT",
"phy_name":"OSCA001.OSCA_inst/HFCLKOUT"
},
"path_end":
{
"type":"pin",
"log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_233/CLK",
"phy_name":"top_reveal_coretop_instance.core0.tm_u.Iwyo03fG5pspEcn5JBxws/CLK"
},
"path_sections":[
{
"type":"net_delay",
"net":
{
"log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_111/secured_signal_0_140",
"phy_name":"CLK"
},
"arrive":1.808,
"delay":1.808
},
{
"type":"site_delay",
"pin0":
{
"log_name":"",
"phy_name":""
},
"pin1":
{
"log_name":"",
"phy_name":""
},
"arrive":1.808,
"delay":0.000
}
]
}
Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout
---------------------------------------- ------------------------ ---------------- ------ --------------------- ------
OSCA001.OSCA_inst/HFCLKOUT OSC_CORE_OSC_CORE_R1C137 CLOCK LATENCY 0.000 0.000 344
top_reveal_coretop_instance/core0/tm_u/secured_instance_1_111/secured_signal_0_140
NET DELAY 1.808 1.808 344
{top_reveal_coretop_instance/core0/tm_u/secured_instance_1_233/CLK top_reveal_coretop_instance/core0/tm_u/secured_instance_1_234/CLK}
CLOCK PIN 0.000 1.808 1
Data Path
{
"path_begin":
{
"type":"pin",
"log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_234/Q",
"phy_name":"top_reveal_coretop_instance.core0.tm_u.Iwyo03fG5pspEcn5JBxws/Q1"
},
"path_end":
{
"type":"pin",
"log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_111/secured_instance_0_211/WD3",
"phy_name":"top_reveal_coretop_instance.core0.tm_u.IxGmp4v571xtocbczv1mJ.IhL8LyjD9lu5nEt1F.ramw_inst/B1"
},
"path_sections":[
{
"type":"site_delay",
"pin0":
{
"log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_234/CLK",
"phy_name":"top_reveal_coretop_instance.core0.tm_u.Iwyo03fG5pspEcn5JBxws/CLK"
},
"pin1":
{
"log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_234/Q",
"phy_name":"top_reveal_coretop_instance.core0.tm_u.Iwyo03fG5pspEcn5JBxws/Q1"
},
"arrive":1.987,
"delay":0.179
},
{
"type":"net_delay",
"net":
{
"log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_111/secured_signal_0_17",
"phy_name":"top_reveal_coretop_instance.core0.tm_u.Niywe27GJcnrher8e[47]"
},
"arrive":2.119,
"delay":0.132
},
{
"type":"site_delay",
"pin0":
{
"log_name":"",
"phy_name":""
},
"pin1":
{
"log_name":"",
"phy_name":""
},
"arrive":2.119,
"delay":0.000
}
]
}
Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout
---------------------------------------- ------------------------ ---------------- ------ --------------------- ------
top_reveal_coretop_instance/core0/tm_u/secured_instance_1_234/CLK->top_reveal_coretop_instance/core0/tm_u/secured_instance_1_234/Q
SLICE_R41C49D REG_DEL 0.179 1.987 2
top_reveal_coretop_instance/core0/tm_u/secured_instance_1_111/secured_signal_0_17
NET DELAY 0.132 2.119 2
top_reveal_coretop_instance/core0/tm_u/secured_instance_1_111/secured_instance_0_211/WD3
ENDPOINT 0.000 2.119 1
Destination Clock Path
{
"path_begin":
{
"type":"pin",
"log_name":"OSCA001.OSCA_inst/HFCLKOUT",
"phy_name":"OSCA001.OSCA_inst/HFCLKOUT"
},
"path_end":
{
"type":"pin",
"log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_111/secured_instance_0_211/WCK",
"phy_name":"top_reveal_coretop_instance.core0.tm_u.IxGmp4v571xtocbczv1mJ.IhL8LyjD9lu5nEt1F.ramw_inst/CLK"
},
"path_sections":[
{
"type":"site_delay",
"pin0":
{
"log_name":"",
"phy_name":""
},
"pin1":
{
"log_name":"",
"phy_name":""
},
"arrive":0.000,
"delay":0.000
},
{
"type":"net_delay",
"net":
{
"log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_111/secured_signal_0_140",
"phy_name":"CLK"
},
"arrive":1.921,
"delay":1.921
},
{
"type":"site_delay",
"pin0":
{
"log_name":"",
"phy_name":""
},
"pin1":
{
"log_name":"",
"phy_name":""
},
"arrive":1.921,
"delay":0.000
}
]
}
Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout
---------------------------------------- ------------------------ ---------------- ------ --------------------- ------
CONSTRAINT 0.000 0.000 1
OSCA001.OSCA_inst/HFCLKOUT OSC_CORE_OSC_CORE_R1C137 CLOCK LATENCY 0.000 0.000 344
top_reveal_coretop_instance/core0/tm_u/secured_instance_1_111/secured_signal_0_140
NET DELAY 1.921 1.921 344
top_reveal_coretop_instance/core0/tm_u/secured_instance_1_111/secured_instance_0_211/WCK
CLOCK PIN 0.000 1.921 1
Uncertainty 0.000 1.921
Common Path Skew -0.100 1.821
Hold time 0.194 2.015
---------------------------------------- ------------------------ ---------------- ------ --------------------- ------
Required Time -2.015
Arrival Time 2.119
---------------------------------------- ------------------------ ---------------- ------ --------------------- ------
Path Slack (Passed) 0.104
++++ Path 4 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
Path Begin : top_reveal_coretop_instance/core0/tm_u/secured_instance_1_255/Q (SLICE_R45C47C)
Path End : top_reveal_coretop_instance/core0/tm_u/secured_instance_1_111/secured_instance_0_279/WAD3 (SLICE_R45C49C)
Source Clock : CLK (R)
Destination Clock: CLK (R)
Logic Level : 1
Delay Ratio : 44.4% (route), 55.6% (logic)
Clock Skew : 0.113 ns
Hold Constraint : 0.000 ns
Common Path Skew : -0.111 ns
Path Slack : 0.110 ns (Passed)
Source Clock Path
{
"path_begin":
{
"type":"pin",
"log_name":"OSCA001.OSCA_inst/HFCLKOUT",
"phy_name":"OSCA001.OSCA_inst/HFCLKOUT"
},
"path_end":
{
"type":"pin",
"log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_254/CLK",
"phy_name":"top_reveal_coretop_instance.core0.tm_u.IcLtEjj5dffxkaEny9yFAcs[2].ff_inst/CLK"
},
"path_sections":[
{
"type":"net_delay",
"net":
{
"log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_111/secured_signal_0_140",
"phy_name":"CLK"
},
"arrive":1.808,
"delay":1.808
},
{
"type":"site_delay",
"pin0":
{
"log_name":"",
"phy_name":""
},
"pin1":
{
"log_name":"",
"phy_name":""
},
"arrive":1.808,
"delay":0.000
}
]
}
Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout
---------------------------------------- ------------------------ ---------------- ------ --------------------- ------
OSCA001.OSCA_inst/HFCLKOUT OSC_CORE_OSC_CORE_R1C137 CLOCK LATENCY 0.000 0.000 344
top_reveal_coretop_instance/core0/tm_u/secured_instance_1_111/secured_signal_0_140
NET DELAY 1.808 1.808 344
{top_reveal_coretop_instance/core0/tm_u/secured_instance_1_254/CLK top_reveal_coretop_instance/core0/tm_u/secured_instance_1_255/CLK}
CLOCK PIN 0.000 1.808 1
Data Path
{
"path_begin":
{
"type":"pin",
"log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_255/Q",
"phy_name":"top_reveal_coretop_instance.core0.tm_u.IcLtEjj5dffxkaEny9yFAcs[2].ff_inst/Q1"
},
"path_end":
{
"type":"pin",
"log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_111/secured_instance_0_279/WAD3",
"phy_name":"top_reveal_coretop_instance.core0.tm_u.IxGmp4v571xtocbczv1mJ.Inx0chE2cwnECu.ramw_inst/A0"
},
"path_sections":[
{
"type":"site_delay",
"pin0":
{
"log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_255/CLK",
"phy_name":"top_reveal_coretop_instance.core0.tm_u.IcLtEjj5dffxkaEny9yFAcs[2].ff_inst/CLK"
},
"pin1":
{
"log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_255/Q",
"phy_name":"top_reveal_coretop_instance.core0.tm_u.IcLtEjj5dffxkaEny9yFAcs[2].ff_inst/Q1"
},
"arrive":1.987,
"delay":0.179
},
{
"type":"net_delay",
"net":
{
"log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_111/secured_signal_0_66",
"phy_name":"top_reveal_coretop_instance.core0.tm_u.NcLtEjj5dffxkaEny9yFAcs[3]"
},
"arrive":2.130,
"delay":0.143
},
{
"type":"site_delay",
"pin0":
{
"log_name":"",
"phy_name":""
},
"pin1":
{
"log_name":"",
"phy_name":""
},
"arrive":2.130,
"delay":0.000
}
]
}
Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout
---------------------------------------- ------------------------ ---------------- ------ --------------------- ------
top_reveal_coretop_instance/core0/tm_u/secured_instance_1_255/CLK->top_reveal_coretop_instance/core0/tm_u/secured_instance_1_255/Q
SLICE_R45C47C REG_DEL 0.179 1.987 38
top_reveal_coretop_instance/core0/tm_u/secured_instance_1_111/secured_signal_0_66
NET DELAY 0.143 2.130 38
top_reveal_coretop_instance/core0/tm_u/secured_instance_1_111/secured_instance_0_279/WAD3
ENDPOINT 0.000 2.130 1
Destination Clock Path
{
"path_begin":
{
"type":"pin",
"log_name":"OSCA001.OSCA_inst/HFCLKOUT",
"phy_name":"OSCA001.OSCA_inst/HFCLKOUT"
},
"path_end":
{
"type":"pin",
"log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_111/secured_instance_0_279/WCK",
"phy_name":"top_reveal_coretop_instance.core0.tm_u.IxGmp4v571xtocbczv1mJ.Inx0chE2cwnECu.ramw_inst/CLK"
},
"path_sections":[
{
"type":"site_delay",
"pin0":
{
"log_name":"",
"phy_name":""
},
"pin1":
{
"log_name":"",
"phy_name":""
},
"arrive":0.000,
"delay":0.000
},
{
"type":"net_delay",
"net":
{
"log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_111/secured_signal_0_140",
"phy_name":"CLK"
},
"arrive":1.921,
"delay":1.921
},
{
"type":"site_delay",
"pin0":
{
"log_name":"",
"phy_name":""
},
"pin1":
{
"log_name":"",
"phy_name":""
},
"arrive":1.921,
"delay":0.000
}
]
}
Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout
---------------------------------------- ------------------------ ---------------- ------ --------------------- ------
CONSTRAINT 0.000 0.000 1
OSCA001.OSCA_inst/HFCLKOUT OSC_CORE_OSC_CORE_R1C137 CLOCK LATENCY 0.000 0.000 344
top_reveal_coretop_instance/core0/tm_u/secured_instance_1_111/secured_signal_0_140
NET DELAY 1.921 1.921 344
top_reveal_coretop_instance/core0/tm_u/secured_instance_1_111/secured_instance_0_279/WCK
CLOCK PIN 0.000 1.921 1
Uncertainty 0.000 1.921
Common Path Skew -0.111 1.810
Hold time 0.210 2.020
---------------------------------------- ------------------------ ---------------- ------ --------------------- ------
Required Time -2.020
Arrival Time 2.130
---------------------------------------- ------------------------ ---------------- ------ --------------------- ------
Path Slack (Passed) 0.110
++++ Path 5 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
Path Begin : top_reveal_coretop_instance/core0/tm_u/secured_instance_1_255/Q (SLICE_R45C47C)
Path End : top_reveal_coretop_instance/core0/tm_u/secured_instance_1_111/secured_instance_0_235/WAD3 (SLICE_R45C48C)
Source Clock : CLK (R)
Destination Clock: CLK (R)
Logic Level : 1
Delay Ratio : 44.4% (route), 55.6% (logic)
Clock Skew : 0.113 ns
Hold Constraint : 0.000 ns
Common Path Skew : -0.111 ns
Path Slack : 0.110 ns (Passed)
Source Clock Path
{
"path_begin":
{
"type":"pin",
"log_name":"OSCA001.OSCA_inst/HFCLKOUT",
"phy_name":"OSCA001.OSCA_inst/HFCLKOUT"
},
"path_end":
{
"type":"pin",
"log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_254/CLK",
"phy_name":"top_reveal_coretop_instance.core0.tm_u.IcLtEjj5dffxkaEny9yFAcs[2].ff_inst/CLK"
},
"path_sections":[
{
"type":"net_delay",
"net":
{
"log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_111/secured_signal_0_140",
"phy_name":"CLK"
},
"arrive":1.808,
"delay":1.808
},
{
"type":"site_delay",
"pin0":
{
"log_name":"",
"phy_name":""
},
"pin1":
{
"log_name":"",
"phy_name":""
},
"arrive":1.808,
"delay":0.000
}
]
}
Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout
---------------------------------------- ------------------------ ---------------- ------ --------------------- ------
OSCA001.OSCA_inst/HFCLKOUT OSC_CORE_OSC_CORE_R1C137 CLOCK LATENCY 0.000 0.000 344
top_reveal_coretop_instance/core0/tm_u/secured_instance_1_111/secured_signal_0_140
NET DELAY 1.808 1.808 344
{top_reveal_coretop_instance/core0/tm_u/secured_instance_1_254/CLK top_reveal_coretop_instance/core0/tm_u/secured_instance_1_255/CLK}
CLOCK PIN 0.000 1.808 1
Data Path
{
"path_begin":
{
"type":"pin",
"log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_255/Q",
"phy_name":"top_reveal_coretop_instance.core0.tm_u.IcLtEjj5dffxkaEny9yFAcs[2].ff_inst/Q1"
},
"path_end":
{
"type":"pin",
"log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_111/secured_instance_0_235/WAD3",
"phy_name":"top_reveal_coretop_instance.core0.tm_u.IxGmp4v571xtocbczv1mJ.IbxJ0HzEv3gFF21F.ramw_inst/A0"
},
"path_sections":[
{
"type":"site_delay",
"pin0":
{
"log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_255/CLK",
"phy_name":"top_reveal_coretop_instance.core0.tm_u.IcLtEjj5dffxkaEny9yFAcs[2].ff_inst/CLK"
},
"pin1":
{
"log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_255/Q",
"phy_name":"top_reveal_coretop_instance.core0.tm_u.IcLtEjj5dffxkaEny9yFAcs[2].ff_inst/Q1"
},
"arrive":1.987,
"delay":0.179
},
{
"type":"net_delay",
"net":
{
"log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_111/secured_signal_0_66",
"phy_name":"top_reveal_coretop_instance.core0.tm_u.NcLtEjj5dffxkaEny9yFAcs[3]"
},
"arrive":2.130,
"delay":0.143
},
{
"type":"site_delay",
"pin0":
{
"log_name":"",
"phy_name":""
},
"pin1":
{
"log_name":"",
"phy_name":""
},
"arrive":2.130,
"delay":0.000
}
]
}
Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout
---------------------------------------- ------------------------ ---------------- ------ --------------------- ------
top_reveal_coretop_instance/core0/tm_u/secured_instance_1_255/CLK->top_reveal_coretop_instance/core0/tm_u/secured_instance_1_255/Q
SLICE_R45C47C REG_DEL 0.179 1.987 38
top_reveal_coretop_instance/core0/tm_u/secured_instance_1_111/secured_signal_0_66
NET DELAY 0.143 2.130 38
top_reveal_coretop_instance/core0/tm_u/secured_instance_1_111/secured_instance_0_235/WAD3
ENDPOINT 0.000 2.130 1
Destination Clock Path
{
"path_begin":
{
"type":"pin",
"log_name":"OSCA001.OSCA_inst/HFCLKOUT",
"phy_name":"OSCA001.OSCA_inst/HFCLKOUT"
},
"path_end":
{
"type":"pin",
"log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_111/secured_instance_0_235/WCK",
"phy_name":"top_reveal_coretop_instance.core0.tm_u.IxGmp4v571xtocbczv1mJ.IbxJ0HzEv3gFF21F.ramw_inst/CLK"
},
"path_sections":[
{
"type":"site_delay",
"pin0":
{
"log_name":"",
"phy_name":""
},
"pin1":
{
"log_name":"",
"phy_name":""
},
"arrive":0.000,
"delay":0.000
},
{
"type":"net_delay",
"net":
{
"log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_111/secured_signal_0_140",
"phy_name":"CLK"
},
"arrive":1.921,
"delay":1.921
},
{
"type":"site_delay",
"pin0":
{
"log_name":"",
"phy_name":""
},
"pin1":
{
"log_name":"",
"phy_name":""
},
"arrive":1.921,
"delay":0.000
}
]
}
Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout
---------------------------------------- ------------------------ ---------------- ------ --------------------- ------
CONSTRAINT 0.000 0.000 1
OSCA001.OSCA_inst/HFCLKOUT OSC_CORE_OSC_CORE_R1C137 CLOCK LATENCY 0.000 0.000 344
top_reveal_coretop_instance/core0/tm_u/secured_instance_1_111/secured_signal_0_140
NET DELAY 1.921 1.921 344
top_reveal_coretop_instance/core0/tm_u/secured_instance_1_111/secured_instance_0_235/WCK
CLOCK PIN 0.000 1.921 1
Uncertainty 0.000 1.921
Common Path Skew -0.111 1.810
Hold time 0.210 2.020
---------------------------------------- ------------------------ ---------------- ------ --------------------- ------
Required Time -2.020
Arrival Time 2.130
---------------------------------------- ------------------------ ---------------- ------ --------------------- ------
Path Slack (Passed) 0.110
++++ Path 6 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
Path Begin : top_reveal_coretop_instance/core0/tm_u/secured_instance_1_242/Q (SLICE_R35C47A)
Path End : top_reveal_coretop_instance/core0/tm_u/secured_instance_1_111/secured_instance_0_255/WD3 (SLICE_R35C48C)
Source Clock : CLK (R)
Destination Clock: CLK (R)
Logic Level : 1
Delay Ratio : 41.7% (route), 58.3% (logic)
Clock Skew : 0.113 ns
Hold Constraint : 0.000 ns
Common Path Skew : -0.111 ns
Path Slack : 0.111 ns (Passed)
Source Clock Path
{
"path_begin":
{
"type":"pin",
"log_name":"OSCA001.OSCA_inst/HFCLKOUT",
"phy_name":"OSCA001.OSCA_inst/HFCLKOUT"
},
"path_end":
{
"type":"pin",
"log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_241/CLK",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_2.Ida5hzuEdryk1Jpoco7v016/CLK"
},
"path_sections":[
{
"type":"net_delay",
"net":
{
"log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_111/secured_signal_0_140",
"phy_name":"CLK"
},
"arrive":1.808,
"delay":1.808
},
{
"type":"site_delay",
"pin0":
{
"log_name":"",
"phy_name":""
},
"pin1":
{
"log_name":"",
"phy_name":""
},
"arrive":1.808,
"delay":0.000
}
]
}
Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout
---------------------------------------- ------------------------ ---------------- ------ --------------------- ------
OSCA001.OSCA_inst/HFCLKOUT OSC_CORE_OSC_CORE_R1C137 CLOCK LATENCY 0.000 0.000 344
top_reveal_coretop_instance/core0/tm_u/secured_instance_1_111/secured_signal_0_140
NET DELAY 1.808 1.808 344
{top_reveal_coretop_instance/core0/tm_u/secured_instance_1_241/CLK top_reveal_coretop_instance/core0/tm_u/secured_instance_1_242/CLK}
CLOCK PIN 0.000 1.808 1
Data Path
{
"path_begin":
{
"type":"pin",
"log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_242/Q",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_2.Ida5hzuEdryk1Jpoco7v016/Q1"
},
"path_end":
{
"type":"pin",
"log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_111/secured_instance_0_255/WD3",
"phy_name":"top_reveal_coretop_instance.core0.tm_u.IxGmp4v571xtocbczv1mJ.IbxJ0HzEv3gFF218.ramw_inst/B1"
},
"path_sections":[
{
"type":"site_delay",
"pin0":
{
"log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_242/CLK",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_2.Ida5hzuEdryk1Jpoco7v016/CLK"
},
"pin1":
{
"log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_242/Q",
"phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_2.Ida5hzuEdryk1Jpoco7v016/Q1"
},
"arrive":1.987,
"delay":0.179
},
{
"type":"net_delay",
"net":
{
"log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_111/secured_signal_0_9",
"phy_name":"top_reveal_coretop_instance.core0.tm_u.Niywe27GJcnrher8e[55]"
},
"arrive":2.115,
"delay":0.128
},
{
"type":"site_delay",
"pin0":
{
"log_name":"",
"phy_name":""
},
"pin1":
{
"log_name":"",
"phy_name":""
},
"arrive":2.115,
"delay":0.000
}
]
}
Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout
---------------------------------------- ------------------------ ---------------- ------ --------------------- ------
top_reveal_coretop_instance/core0/tm_u/secured_instance_1_242/CLK->top_reveal_coretop_instance/core0/tm_u/secured_instance_1_242/Q
SLICE_R35C47A REG_DEL 0.179 1.987 2
top_reveal_coretop_instance/core0/tm_u/secured_instance_1_111/secured_signal_0_9
NET DELAY 0.128 2.115 2
top_reveal_coretop_instance/core0/tm_u/secured_instance_1_111/secured_instance_0_255/WD3
ENDPOINT 0.000 2.115 1
Destination Clock Path
{
"path_begin":
{
"type":"pin",
"log_name":"OSCA001.OSCA_inst/HFCLKOUT",
"phy_name":"OSCA001.OSCA_inst/HFCLKOUT"
},
"path_end":
{
"type":"pin",
"log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_111/secured_instance_0_255/WCK",
"phy_name":"top_reveal_coretop_instance.core0.tm_u.IxGmp4v571xtocbczv1mJ.IbxJ0HzEv3gFF218.ramw_inst/CLK"
},
"path_sections":[
{
"type":"site_delay",
"pin0":
{
"log_name":"",
"phy_name":""
},
"pin1":
{
"log_name":"",
"phy_name":""
},
"arrive":0.000,
"delay":0.000
},
{
"type":"net_delay",
"net":
{
"log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_111/secured_signal_0_140",
"phy_name":"CLK"
},
"arrive":1.921,
"delay":1.921
},
{
"type":"site_delay",
"pin0":
{
"log_name":"",
"phy_name":""
},
"pin1":
{
"log_name":"",
"phy_name":""
},
"arrive":1.921,
"delay":0.000
}
]
}
Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout
---------------------------------------- ------------------------ ---------------- ------ --------------------- ------
CONSTRAINT 0.000 0.000 1
OSCA001.OSCA_inst/HFCLKOUT OSC_CORE_OSC_CORE_R1C137 CLOCK LATENCY 0.000 0.000 344
top_reveal_coretop_instance/core0/tm_u/secured_instance_1_111/secured_signal_0_140
NET DELAY 1.921 1.921 344
top_reveal_coretop_instance/core0/tm_u/secured_instance_1_111/secured_instance_0_255/WCK
CLOCK PIN 0.000 1.921 1
Uncertainty 0.000 1.921
Common Path Skew -0.111 1.810
Hold time 0.194 2.004
---------------------------------------- ------------------------ ---------------- ------ --------------------- ------
Required Time -2.004
Arrival Time 2.115
---------------------------------------- ------------------------ ---------------- ------ --------------------- ------
Path Slack (Passed) 0.111
++++ Path 7 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
Path Begin : top_reveal_coretop_instance/core0/tm_u/secured_instance_1_233/Q (SLICE_R41C49D)
Path End : top_reveal_coretop_instance/core0/tm_u/secured_instance_1_111/secured_instance_0_211/WD2 (SLICE_R39C49C)
Source Clock : CLK (R)
Destination Clock: CLK (R)
Logic Level : 1
Delay Ratio : 44.0% (route), 56.0% (logic)
Clock Skew : 0.113 ns
Hold Constraint : 0.000 ns
Common Path Skew : -0.100 ns
Path Slack : 0.111 ns (Passed)
Source Clock Path
{
"path_begin":
{
"type":"pin",
"log_name":"OSCA001.OSCA_inst/HFCLKOUT",
"phy_name":"OSCA001.OSCA_inst/HFCLKOUT"
},
"path_end":
{
"type":"pin",
"log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_233/CLK",
"phy_name":"top_reveal_coretop_instance.core0.tm_u.Iwyo03fG5pspEcn5JBxws/CLK"
},
"path_sections":[
{
"type":"net_delay",
"net":
{
"log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_111/secured_signal_0_140",
"phy_name":"CLK"
},
"arrive":1.808,
"delay":1.808
},
{
"type":"site_delay",
"pin0":
{
"log_name":"",
"phy_name":""
},
"pin1":
{
"log_name":"",
"phy_name":""
},
"arrive":1.808,
"delay":0.000
}
]
}
Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout
---------------------------------------- ------------------------ ---------------- ------ --------------------- ------
OSCA001.OSCA_inst/HFCLKOUT OSC_CORE_OSC_CORE_R1C137 CLOCK LATENCY 0.000 0.000 344
top_reveal_coretop_instance/core0/tm_u/secured_instance_1_111/secured_signal_0_140
NET DELAY 1.808 1.808 344
{top_reveal_coretop_instance/core0/tm_u/secured_instance_1_233/CLK top_reveal_coretop_instance/core0/tm_u/secured_instance_1_234/CLK}
CLOCK PIN 0.000 1.808 1
Data Path
{
"path_begin":
{
"type":"pin",
"log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_233/Q",
"phy_name":"top_reveal_coretop_instance.core0.tm_u.Iwyo03fG5pspEcn5JBxws/Q0"
},
"path_end":
{
"type":"pin",
"log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_111/secured_instance_0_211/WD2",
"phy_name":"top_reveal_coretop_instance.core0.tm_u.IxGmp4v571xtocbczv1mJ.IhL8LyjD9lu5nEt1F.ramw_inst/A1"
},
"path_sections":[
{
"type":"site_delay",
"pin0":
{
"log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_233/CLK",
"phy_name":"top_reveal_coretop_instance.core0.tm_u.Iwyo03fG5pspEcn5JBxws/CLK"
},
"pin1":
{
"log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_233/Q",
"phy_name":"top_reveal_coretop_instance.core0.tm_u.Iwyo03fG5pspEcn5JBxws/Q0"
},
"arrive":1.986,
"delay":0.178
},
{
"type":"net_delay",
"net":
{
"log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_111/secured_signal_0_18",
"phy_name":"top_reveal_coretop_instance.core0.tm_u.Niywe27GJcnrher8e[46]"
},
"arrive":2.126,
"delay":0.140
},
{
"type":"site_delay",
"pin0":
{
"log_name":"",
"phy_name":""
},
"pin1":
{
"log_name":"",
"phy_name":""
},
"arrive":2.126,
"delay":0.000
}
]
}
Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout
---------------------------------------- ------------------------ ---------------- ------ --------------------- ------
top_reveal_coretop_instance/core0/tm_u/secured_instance_1_233/CLK->top_reveal_coretop_instance/core0/tm_u/secured_instance_1_233/Q
SLICE_R41C49D REG_DEL 0.178 1.986 2
top_reveal_coretop_instance/core0/tm_u/secured_instance_1_111/secured_signal_0_18
NET DELAY 0.140 2.126 2
top_reveal_coretop_instance/core0/tm_u/secured_instance_1_111/secured_instance_0_211/WD2
ENDPOINT 0.000 2.126 1
Destination Clock Path
{
"path_begin":
{
"type":"pin",
"log_name":"OSCA001.OSCA_inst/HFCLKOUT",
"phy_name":"OSCA001.OSCA_inst/HFCLKOUT"
},
"path_end":
{
"type":"pin",
"log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_111/secured_instance_0_211/WCK",
"phy_name":"top_reveal_coretop_instance.core0.tm_u.IxGmp4v571xtocbczv1mJ.IhL8LyjD9lu5nEt1F.ramw_inst/CLK"
},
"path_sections":[
{
"type":"site_delay",
"pin0":
{
"log_name":"",
"phy_name":""
},
"pin1":
{
"log_name":"",
"phy_name":""
},
"arrive":0.000,
"delay":0.000
},
{
"type":"net_delay",
"net":
{
"log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_111/secured_signal_0_140",
"phy_name":"CLK"
},
"arrive":1.921,
"delay":1.921
},
{
"type":"site_delay",
"pin0":
{
"log_name":"",
"phy_name":""
},
"pin1":
{
"log_name":"",
"phy_name":""
},
"arrive":1.921,
"delay":0.000
}
]
}
Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout
---------------------------------------- ------------------------ ---------------- ------ --------------------- ------
CONSTRAINT 0.000 0.000 1
OSCA001.OSCA_inst/HFCLKOUT OSC_CORE_OSC_CORE_R1C137 CLOCK LATENCY 0.000 0.000 344
top_reveal_coretop_instance/core0/tm_u/secured_instance_1_111/secured_signal_0_140
NET DELAY 1.921 1.921 344
top_reveal_coretop_instance/core0/tm_u/secured_instance_1_111/secured_instance_0_211/WCK
CLOCK PIN 0.000 1.921 1
Uncertainty 0.000 1.921
Common Path Skew -0.100 1.821
Hold time 0.194 2.015
---------------------------------------- ------------------------ ---------------- ------ --------------------- ------
Required Time -2.015
Arrival Time 2.126
---------------------------------------- ------------------------ ---------------- ------ --------------------- ------
Path Slack (Passed) 0.111
++++ Path 8 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
Path Begin : top_reveal_coretop_instance/core0/tm_u/secured_instance_1_232/Q (SLICE_R40C49D)
Path End : top_reveal_coretop_instance/core0/tm_u/secured_instance_1_111/secured_instance_0_211/WD1 (SLICE_R39C49C)
Source Clock : CLK (R)
Destination Clock: CLK (R)
Logic Level : 1
Delay Ratio : 44.0% (route), 56.0% (logic)
Clock Skew : 0.113 ns
Hold Constraint : 0.000 ns
Common Path Skew : -0.100 ns
Path Slack : 0.111 ns (Passed)
Source Clock Path
{
"path_begin":
{
"type":"pin",
"log_name":"OSCA001.OSCA_inst/HFCLKOUT",
"phy_name":"OSCA001.OSCA_inst/HFCLKOUT"
},
"path_end":
{
"type":"pin",
"log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_232/CLK",
"phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.IeF29acFIhhC9Hpr3ECs/CLK"
},
"path_sections":[
{
"type":"net_delay",
"net":
{
"log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_111/secured_signal_0_140",
"phy_name":"CLK"
},
"arrive":1.808,
"delay":1.808
},
{
"type":"site_delay",
"pin0":
{
"log_name":"",
"phy_name":""
},
"pin1":
{
"log_name":"",
"phy_name":""
},
"arrive":1.808,
"delay":0.000
}
]
}
Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout
---------------------------------------- ------------------------ ---------------- ------ --------------------- ------
OSCA001.OSCA_inst/HFCLKOUT OSC_CORE_OSC_CORE_R1C137 CLOCK LATENCY 0.000 0.000 344
top_reveal_coretop_instance/core0/tm_u/secured_instance_1_111/secured_signal_0_140
NET DELAY 1.808 1.808 344
{top_reveal_coretop_instance/core0/tm_u/secured_instance_1_232/CLK top_reveal_coretop_instance/core0/tm_u/secured_instance_1_231/CLK}
CLOCK PIN 0.000 1.808 1
Data Path
{
"path_begin":
{
"type":"pin",
"log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_232/Q",
"phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.IeF29acFIhhC9Hpr3ECs/Q0"
},
"path_end":
{
"type":"pin",
"log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_111/secured_instance_0_211/WD1",
"phy_name":"top_reveal_coretop_instance.core0.tm_u.IxGmp4v571xtocbczv1mJ.IhL8LyjD9lu5nEt1F.ramw_inst/C1"
},
"path_sections":[
{
"type":"site_delay",
"pin0":
{
"log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_232/CLK",
"phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.IeF29acFIhhC9Hpr3ECs/CLK"
},
"pin1":
{
"log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_232/Q",
"phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.IeF29acFIhhC9Hpr3ECs/Q0"
},
"arrive":1.986,
"delay":0.178
},
{
"type":"net_delay",
"net":
{
"log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_111/secured_signal_0_19",
"phy_name":"top_reveal_coretop_instance.core0.tm_u.Niywe27GJcnrher8e[45]"
},
"arrive":2.126,
"delay":0.140
},
{
"type":"site_delay",
"pin0":
{
"log_name":"",
"phy_name":""
},
"pin1":
{
"log_name":"",
"phy_name":""
},
"arrive":2.126,
"delay":0.000
}
]
}
Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout
---------------------------------------- ------------------------ ---------------- ------ --------------------- ------
top_reveal_coretop_instance/core0/tm_u/secured_instance_1_232/CLK->top_reveal_coretop_instance/core0/tm_u/secured_instance_1_232/Q
SLICE_R40C49D REG_DEL 0.178 1.986 2
top_reveal_coretop_instance/core0/tm_u/secured_instance_1_111/secured_signal_0_19
NET DELAY 0.140 2.126 2
top_reveal_coretop_instance/core0/tm_u/secured_instance_1_111/secured_instance_0_211/WD1
ENDPOINT 0.000 2.126 1
Destination Clock Path
{
"path_begin":
{
"type":"pin",
"log_name":"OSCA001.OSCA_inst/HFCLKOUT",
"phy_name":"OSCA001.OSCA_inst/HFCLKOUT"
},
"path_end":
{
"type":"pin",
"log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_111/secured_instance_0_211/WCK",
"phy_name":"top_reveal_coretop_instance.core0.tm_u.IxGmp4v571xtocbczv1mJ.IhL8LyjD9lu5nEt1F.ramw_inst/CLK"
},
"path_sections":[
{
"type":"site_delay",
"pin0":
{
"log_name":"",
"phy_name":""
},
"pin1":
{
"log_name":"",
"phy_name":""
},
"arrive":0.000,
"delay":0.000
},
{
"type":"net_delay",
"net":
{
"log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_111/secured_signal_0_140",
"phy_name":"CLK"
},
"arrive":1.921,
"delay":1.921
},
{
"type":"site_delay",
"pin0":
{
"log_name":"",
"phy_name":""
},
"pin1":
{
"log_name":"",
"phy_name":""
},
"arrive":1.921,
"delay":0.000
}
]
}
Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout
---------------------------------------- ------------------------ ---------------- ------ --------------------- ------
CONSTRAINT 0.000 0.000 1
OSCA001.OSCA_inst/HFCLKOUT OSC_CORE_OSC_CORE_R1C137 CLOCK LATENCY 0.000 0.000 344
top_reveal_coretop_instance/core0/tm_u/secured_instance_1_111/secured_signal_0_140
NET DELAY 1.921 1.921 344
top_reveal_coretop_instance/core0/tm_u/secured_instance_1_111/secured_instance_0_211/WCK
CLOCK PIN 0.000 1.921 1
Uncertainty 0.000 1.921
Common Path Skew -0.100 1.821
Hold time 0.194 2.015
---------------------------------------- ------------------------ ---------------- ------ --------------------- ------
Required Time -2.015
Arrival Time 2.126
---------------------------------------- ------------------------ ---------------- ------ --------------------- ------
Path Slack (Passed) 0.111
++++ Path 9 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
Path Begin : top_reveal_coretop_instance/core0/tm_u/secured_instance_1_200/Q (SLICE_R47C48B)
Path End : top_reveal_coretop_instance/core0/tm_u/secured_instance_1_111/secured_instance_0_235/WD1 (SLICE_R45C48C)
Source Clock : CLK (R)
Destination Clock: CLK (R)
Logic Level : 1
Delay Ratio : 44.4% (route), 55.6% (logic)
Clock Skew : 0.113 ns
Hold Constraint : 0.000 ns
Common Path Skew : -0.100 ns
Path Slack : 0.115 ns (Passed)
Source Clock Path
{
"path_begin":
{
"type":"pin",
"log_name":"OSCA001.OSCA_inst/HFCLKOUT",
"phy_name":"OSCA001.OSCA_inst/HFCLKOUT"
},
"path_end":
{
"type":"pin",
"log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_199/CLK",
"phy_name":"top_reveal_coretop_instance.core0.tm_u.IrdCbj4w8gHmJJjkfqpCj8yBqe9bwgKsHC[4]/CLK"
},
"path_sections":[
{
"type":"net_delay",
"net":
{
"log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_111/secured_signal_0_140",
"phy_name":"CLK"
},
"arrive":1.808,
"delay":1.808
},
{
"type":"site_delay",
"pin0":
{
"log_name":"",
"phy_name":""
},
"pin1":
{
"log_name":"",
"phy_name":""
},
"arrive":1.808,
"delay":0.000
}
]
}
Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout
---------------------------------------- ------------------------ ---------------- ------ --------------------- ------
OSCA001.OSCA_inst/HFCLKOUT OSC_CORE_OSC_CORE_R1C137 CLOCK LATENCY 0.000 0.000 344
top_reveal_coretop_instance/core0/tm_u/secured_instance_1_111/secured_signal_0_140
NET DELAY 1.808 1.808 344
{top_reveal_coretop_instance/core0/tm_u/secured_instance_1_199/CLK top_reveal_coretop_instance/core0/tm_u/secured_instance_1_200/CLK}
CLOCK PIN 0.000 1.808 1
Data Path
{
"path_begin":
{
"type":"pin",
"log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_200/Q",
"phy_name":"top_reveal_coretop_instance.core0.tm_u.IrdCbj4w8gHmJJjkfqpCj8yBqe9bwgKsHC[4]/Q1"
},
"path_end":
{
"type":"pin",
"log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_111/secured_instance_0_235/WD1",
"phy_name":"top_reveal_coretop_instance.core0.tm_u.IxGmp4v571xtocbczv1mJ.IbxJ0HzEv3gFF21F.ramw_inst/C1"
},
"path_sections":[
{
"type":"site_delay",
"pin0":
{
"log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_200/CLK",
"phy_name":"top_reveal_coretop_instance.core0.tm_u.IrdCbj4w8gHmJJjkfqpCj8yBqe9bwgKsHC[4]/CLK"
},
"pin1":
{
"log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_200/Q",
"phy_name":"top_reveal_coretop_instance.core0.tm_u.IrdCbj4w8gHmJJjkfqpCj8yBqe9bwgKsHC[4]/Q1"
},
"arrive":1.987,
"delay":0.179
},
{
"type":"net_delay",
"net":
{
"log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_111/secured_signal_0_51",
"phy_name":"top_reveal_coretop_instance.core0.tm_u.Niywe27GJcnrher8e[13]"
},
"arrive":2.130,
"delay":0.143
},
{
"type":"site_delay",
"pin0":
{
"log_name":"",
"phy_name":""
},
"pin1":
{
"log_name":"",
"phy_name":""
},
"arrive":2.130,
"delay":0.000
}
]
}
Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout
---------------------------------------- ------------------------ ---------------- ------ --------------------- ------
top_reveal_coretop_instance/core0/tm_u/secured_instance_1_200/CLK->top_reveal_coretop_instance/core0/tm_u/secured_instance_1_200/Q
SLICE_R47C48B REG_DEL 0.179 1.987 2
top_reveal_coretop_instance/core0/tm_u/secured_instance_1_111/secured_signal_0_51
NET DELAY 0.143 2.130 2
top_reveal_coretop_instance/core0/tm_u/secured_instance_1_111/secured_instance_0_235/WD1
ENDPOINT 0.000 2.130 1
Destination Clock Path
{
"path_begin":
{
"type":"pin",
"log_name":"OSCA001.OSCA_inst/HFCLKOUT",
"phy_name":"OSCA001.OSCA_inst/HFCLKOUT"
},
"path_end":
{
"type":"pin",
"log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_111/secured_instance_0_235/WCK",
"phy_name":"top_reveal_coretop_instance.core0.tm_u.IxGmp4v571xtocbczv1mJ.IbxJ0HzEv3gFF21F.ramw_inst/CLK"
},
"path_sections":[
{
"type":"site_delay",
"pin0":
{
"log_name":"",
"phy_name":""
},
"pin1":
{
"log_name":"",
"phy_name":""
},
"arrive":0.000,
"delay":0.000
},
{
"type":"net_delay",
"net":
{
"log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_111/secured_signal_0_140",
"phy_name":"CLK"
},
"arrive":1.921,
"delay":1.921
},
{
"type":"site_delay",
"pin0":
{
"log_name":"",
"phy_name":""
},
"pin1":
{
"log_name":"",
"phy_name":""
},
"arrive":1.921,
"delay":0.000
}
]
}
Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout
---------------------------------------- ------------------------ ---------------- ------ --------------------- ------
CONSTRAINT 0.000 0.000 1
OSCA001.OSCA_inst/HFCLKOUT OSC_CORE_OSC_CORE_R1C137 CLOCK LATENCY 0.000 0.000 344
top_reveal_coretop_instance/core0/tm_u/secured_instance_1_111/secured_signal_0_140
NET DELAY 1.921 1.921 344
top_reveal_coretop_instance/core0/tm_u/secured_instance_1_111/secured_instance_0_235/WCK
CLOCK PIN 0.000 1.921 1
Uncertainty 0.000 1.921
Common Path Skew -0.100 1.821
Hold time 0.194 2.015
---------------------------------------- ------------------------ ---------------- ------ --------------------- ------
Required Time -2.015
Arrival Time 2.130
---------------------------------------- ------------------------ ---------------- ------ --------------------- ------
Path Slack (Passed) 0.115
++++ Path 10 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
Path Begin : top_reveal_coretop_instance/core0/tm_u/secured_instance_1_226/Q (SLICE_R39C53B)
Path End : top_reveal_coretop_instance/core0/tm_u/secured_instance_1_111/secured_instance_0_217/WD3 (SLICE_R39C52C)
Source Clock : CLK (R)
Destination Clock: CLK (R)
Logic Level : 1
Delay Ratio : 42.4% (route), 57.6% (logic)
Clock Skew : 0.113 ns
Hold Constraint : 0.000 ns
Common Path Skew : -0.111 ns
Path Slack : 0.115 ns (Passed)
Source Clock Path
{
"path_begin":
{
"type":"pin",
"log_name":"OSCA001.OSCA_inst/HFCLKOUT",
"phy_name":"OSCA001.OSCA_inst/HFCLKOUT"
},
"path_end":
{
"type":"pin",
"log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_225/CLK",
"phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.IrzwqmDb33Hd23u8GdeIdmK6qLozFKkogq[46]/CLK"
},
"path_sections":[
{
"type":"net_delay",
"net":
{
"log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_111/secured_signal_0_140",
"phy_name":"CLK"
},
"arrive":1.808,
"delay":1.808
},
{
"type":"site_delay",
"pin0":
{
"log_name":"",
"phy_name":""
},
"pin1":
{
"log_name":"",
"phy_name":""
},
"arrive":1.808,
"delay":0.000
}
]
}
Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout
---------------------------------------- ------------------------ ---------------- ------ --------------------- ------
OSCA001.OSCA_inst/HFCLKOUT OSC_CORE_OSC_CORE_R1C137 CLOCK LATENCY 0.000 0.000 344
top_reveal_coretop_instance/core0/tm_u/secured_instance_1_111/secured_signal_0_140
NET DELAY 1.808 1.808 344
{top_reveal_coretop_instance/core0/tm_u/secured_instance_1_225/CLK top_reveal_coretop_instance/core0/tm_u/secured_instance_1_226/CLK}
CLOCK PIN 0.000 1.808 1
Data Path
{
"path_begin":
{
"type":"pin",
"log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_226/Q",
"phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.IrzwqmDb33Hd23u8GdeIdmK6qLozFKkogq[46]/Q1"
},
"path_end":
{
"type":"pin",
"log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_111/secured_instance_0_217/WD3",
"phy_name":"top_reveal_coretop_instance.core0.tm_u.IxGmp4v571xtocbczv1mJ.IbxJ0HzEv3gFF27f.ramw_inst/B1"
},
"path_sections":[
{
"type":"site_delay",
"pin0":
{
"log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_226/CLK",
"phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.IrzwqmDb33Hd23u8GdeIdmK6qLozFKkogq[46]/CLK"
},
"pin1":
{
"log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_226/Q",
"phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.IrzwqmDb33Hd23u8GdeIdmK6qLozFKkogq[46]/Q1"
},
"arrive":1.987,
"delay":0.179
},
{
"type":"net_delay",
"net":
{
"log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_111/secured_signal_0_25",
"phy_name":"top_reveal_coretop_instance.core0.tm_u.Niywe27GJcnrher8e[39]"
},
"arrive":2.119,
"delay":0.132
},
{
"type":"site_delay",
"pin0":
{
"log_name":"",
"phy_name":""
},
"pin1":
{
"log_name":"",
"phy_name":""
},
"arrive":2.119,
"delay":0.000
}
]
}
Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout
---------------------------------------- ------------------------ ---------------- ------ --------------------- ------
top_reveal_coretop_instance/core0/tm_u/secured_instance_1_226/CLK->top_reveal_coretop_instance/core0/tm_u/secured_instance_1_226/Q
SLICE_R39C53B REG_DEL 0.179 1.987 2
top_reveal_coretop_instance/core0/tm_u/secured_instance_1_111/secured_signal_0_25
NET DELAY 0.132 2.119 2
top_reveal_coretop_instance/core0/tm_u/secured_instance_1_111/secured_instance_0_217/WD3
ENDPOINT 0.000 2.119 1
Destination Clock Path
{
"path_begin":
{
"type":"pin",
"log_name":"OSCA001.OSCA_inst/HFCLKOUT",
"phy_name":"OSCA001.OSCA_inst/HFCLKOUT"
},
"path_end":
{
"type":"pin",
"log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_111/secured_instance_0_217/WCK",
"phy_name":"top_reveal_coretop_instance.core0.tm_u.IxGmp4v571xtocbczv1mJ.IbxJ0HzEv3gFF27f.ramw_inst/CLK"
},
"path_sections":[
{
"type":"site_delay",
"pin0":
{
"log_name":"",
"phy_name":""
},
"pin1":
{
"log_name":"",
"phy_name":""
},
"arrive":0.000,
"delay":0.000
},
{
"type":"net_delay",
"net":
{
"log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_111/secured_signal_0_140",
"phy_name":"CLK"
},
"arrive":1.921,
"delay":1.921
},
{
"type":"site_delay",
"pin0":
{
"log_name":"",
"phy_name":""
},
"pin1":
{
"log_name":"",
"phy_name":""
},
"arrive":1.921,
"delay":0.000
}
]
}
Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout
---------------------------------------- ------------------------ ---------------- ------ --------------------- ------
CONSTRAINT 0.000 0.000 1
OSCA001.OSCA_inst/HFCLKOUT OSC_CORE_OSC_CORE_R1C137 CLOCK LATENCY 0.000 0.000 344
top_reveal_coretop_instance/core0/tm_u/secured_instance_1_111/secured_signal_0_140
NET DELAY 1.921 1.921 344
top_reveal_coretop_instance/core0/tm_u/secured_instance_1_111/secured_instance_0_217/WCK
CLOCK PIN 0.000 1.921 1
Uncertainty 0.000 1.921
Common Path Skew -0.111 1.810
Hold time 0.194 2.004
---------------------------------------- ------------------------ ---------------- ------ --------------------- ------
Required Time -2.004
Arrival Time 2.119
---------------------------------------- ------------------------ ---------------- ------ --------------------- ------
Path Slack (Passed) 0.115
+++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
End of Detailed Report for timing paths
+++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
##########################################################