Timing Report
Lattice Timing Report -  Setup  and Hold, Version Radiant Software (64-bit) 2024.1.0.34.2

Thu Sep  5 13:09:56 2024

Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved.
Copyright (c) 1995 AT&T Corp.   All rights reserved.
Copyright (c) 1995-2001 Lucent Technologies Inc.  All rights reserved.
Copyright (c) 2001 Agere Systems   All rights reserved.
Copyright (c) 2002-2024 Lattice Semiconductor Corporation,  All rights reserved.

Command line:    timing -sethld -v 10 -u 10 -endpoints 10 -nperend 1 -sp 9_High-Performance_1.0V -hsp m -pwrprd -html -rpt LAB01_Async_rst.twr LAB01_Async_rst.udb -gui -msgset C:/Users/qnoor/Downloads/LAB01_Async_rst/LAB01_Async_rst/promote.xml

-------------------------------------------
Design:          Top
Family:          LFCPNX
Device:          LFCPNX-100
Package:         LFG672
Performance:     9_High-Performance_1.0V
Package Status:                     Final          Version 16
Performance Hardware Data Status :   Final Version 3.9
-------------------------------------------


=====================================================================
                    Table of Contents
=====================================================================
  • 1 Timing Overview
  • 1.1 SDC Constraints
  • 1.2 Constraint Coverage
  • 1.3 Overall Summary
  • 1.4 Unconstrained Report
  • 1.5 Combinational Loop
  • 2 Setup at Speed Grade 9_High-Performance_1.0V Corner at 100 Degrees
  • 2.1 Clock Summary
  • 2.2 Endpoint slacks
  • 2.3 Detailed Report
  • 3 Setup at Speed Grade 9_High-Performance_1.0V Corner at -40 Degrees
  • 3.1 Clock Summary
  • 3.2 Endpoint slacks
  • 3.3 Detailed Report
  • 4 Hold at Speed Grade m Corner at -40 Degrees
  • 4.1 Endpoint slacks
  • 4.2 Detailed Report
  • ===================================================================== End of Table of Contents ===================================================================== 1 Timing Overview 1.1 SDC Constraints create_clock -name {clk150} -period 8.88889 [get_pins {OSCA001.OSCA_inst/HFCLKOUT }] create_clock -name {rvltck} -period 33.33 [get_ports TCK] set_false_path -to [get_clocks rvltck] set_false_path -from [get_clocks rvltck] create_generated_clock -name {rvjtck} -source [get_ports TCK] [get_nets jtck] set_false_path -to [get_clocks rvjtck] set_false_path -from [get_clocks rvjtck] set_clock_groups -group [get_clocks clk150] -group [get_clocks rvltck] -asynchronous 1.2 Constraint Coverage Constraint Coverage: 99.1274% 1.3 Overall Summary Setup at Speed Grade 9_High-Performance_1.0V Corner at 100 Degrees Timing Errors: 0 endpoints; Total Negative Slack: 0.000 ns Setup at Speed Grade 9_High-Performance_1.0V Corner at -40 Degrees Timing Errors: 0 endpoints; Total Negative Slack: 0.000 ns Hold at Speed Grade m Corner at -40 Degrees Timing Errors: 0 endpoints; Total Negative Slack: 0.000 ns 1.4 Unconstrained Report 1.4.1 Unconstrained Start/End Points Clocked but unconstrained timing start points ------------------------------------------------------------------- Listing 4 Start Points | Type ------------------------------------------------------------------- LED4_0io.PIC_inst/Q | No required time LED3_0io.PIC_inst/Q | No required time LED2_0io.PIC_inst/Q | No required time LED1_0io.PIC_inst/Q | No required time ------------------------------------------------------------------- | Number of unconstrained timing start po | ints | 4 | ------------------------------------------------------------------- Clocked but unconstrained timing end points ------------------------------------------------------------------- Listing 10 End Points | Type ------------------------------------------------------------------- CNT01/Couti_reg[15].ff_inst/LSR | No arrival time {CNT01/Couti_reg[13].ff_inst/LSR CNT01/Couti_reg[14].ff_inst/LSR} | No arrival time {CNT01/Couti_reg[11].ff_inst/LSR CNT01/Couti_reg[12].ff_inst/LSR} | No arrival time {CNT01/Couti_reg[9].ff_inst/LSR CNT01/Couti_reg[10].ff_inst/LSR} | No arrival time {CNT01/Couti_reg[7].ff_inst/LSR CNT01/Couti_reg[8].ff_inst/LSR} | No arrival time {CNT01/Couti_reg[5].ff_inst/LSR CNT01/Couti_reg[6].ff_inst/LSR} | No arrival time {CNT01/Couti_reg[3].ff_inst/LSR CNT01/Couti_reg[4].ff_inst/LSR} | No arrival time {CNT01/Couti_reg[1].ff_inst/LSR CNT01/Couti_reg[2].ff_inst/LSR} | No arrival time CNT01/Couti_reg[0].ff_inst/LSR | No arrival time CNT02/Couti_reg[15].ff_inst/LSR | No arrival time ------------------------------------------------------------------- | Number of unconstrained timing end poin | ts | 38 | ------------------------------------------------------------------- 1.4.2 Start/End Points Without Timing Constraints I/O ports without constraint ---------------------------- Possible constraints to use on I/O ports are: set_input_delay, set_output_delay, set_max_delay, create_clock, create_generated_clock, ... ------------------------------------------------------------------- Listing 6 Start or End Points | Type ------------------------------------------------------------------- en | input reset | input LED4 | output LED3 | output LED2 | output LED1 | output ------------------------------------------------------------------- | Number of I/O ports without constraint | 6 | ------------------------------------------------------------------- Nets without clock definition Define a clock on a top level port or a generated clock on a clock divider pin associated with this net(s). -------------------------------------------------- There is no instance satisfying reporting criteria 1.5 Combinational Loop None 2 Setup at Speed Grade 9_High-Performance_1.0V Corner at 100 Degrees 2.1 Clock Summary 2.1.1 Clock "clk150" create_clock -name {clk150} -period 8.88889 [get_pins {OSCA001.OSCA_inst/HFCLKOUT }] Single Clock Domain ------------------------------------------------------------------------------------------------------- Clock clk150 | | Period | Frequency ------------------------------------------------------------------------------------------------------- From clk150 | Target | 8.889 ns | 112.500 MHz | Actual (all paths) | 6.308 ns | 158.529 MHz OSCA001.OSCA_inst/HFCLKOUT (MPW) | (50% duty cycle) | 4.358 ns | 229.463 MHz ------------------------------------------------------------------------------------------------------- Clock Domain Crossing ------------------------------------------------------------------------------------------------------ Clock clk150 | Worst Time Between Edges | Comment ------------------------------------------------------------------------------------------------------ From rvltck | ---- | False path From rvjtck | ---- | False path ------------------------------------------------------------------------------------------------------ 2.1.2 Clock "rvltck" create_clock -name {rvltck} -period 33.33 [get_ports TCK] Single Clock Domain ------------------------------------------------------------------------------------------------------- Clock rvltck | | Period | Frequency ------------------------------------------------------------------------------------------------------- From rvltck | Target | 33.330 ns | 30.003 MHz | Actual (all paths) | 5.000 ns | 200.000 MHz jtaghub_inst/IB_inst2.bb_inst/B (MPW) | (50% duty cycle) | 5.000 ns | 200.000 MHz ------------------------------------------------------------------------------------------------------- Clock Domain Crossing ------------------------------------------------------------------------------------------------------ Clock rvltck | Worst Time Between Edges | Comment ------------------------------------------------------------------------------------------------------ From clk150 | ---- | False path From rvjtck | ---- | False path ------------------------------------------------------------------------------------------------------ 2.1.3 Clock "rvjtck" create_generated_clock -name {rvjtck} -source [get_ports TCK] [get_nets jtck] Single Clock Domain ------------------------------------------------------------------------------------------------------- Clock rvjtck | | Period | Frequency ------------------------------------------------------------------------------------------------------- From rvjtck | Target | 33.330 ns | 30.003 MHz | Actual (all paths) | 2.920 ns | 342.466 MHz top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_instance_0_65/CLKR (MPW) | (50% duty cycle) | 2.920 ns | 342.466 MHz ------------------------------------------------------------------------------------------------------- Clock Domain Crossing ------------------------------------------------------------------------------------------------------ Clock rvjtck | Worst Time Between Edges | Comment ------------------------------------------------------------------------------------------------------ From clk150 | ---- | False path From rvltck | ---- | False path ------------------------------------------------------------------------------------------------------ 2.2 Endpoint slacks ------------------------------------------------------- Listing 10 End Points | Slack ------------------------------------------------------- LED1_0io.PIC_inst/D | 2.581 ns LED4_0io.PIC_inst/D | 2.868 ns LED3_0io.PIC_inst/D | 2.982 ns top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_11_95/DF | 3.563 ns LED2_0io.PIC_inst/D | 3.829 ns {top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_13_107/CE top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_13_108/CE} | 3.859 ns {top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_13_103/CE top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_13_104/CE} | 3.859 ns top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_12_95/DF | 3.977 ns {top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_13_101/CE top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_13_102/CE} | 4.061 ns {top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_13_110/CE top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_13_109/CE} | 4.061 ns ------------------------------------------------------- | Setup # of endpoints with negative slack:| 0 | ------------------------------------------------------- 2.3 Detailed Report XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX Detail report of critical paths XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX +++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Detailed Report for timing paths +++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ ++++Path 1 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Path Begin : CNT01/Couti_reg[0].ff_inst/Q (SLICE_R14C12A) Path End : LED1_0io.PIC_inst/D (SIOLOGIC_CORE_IOL_R28B) Source Clock : clk150 (R) Destination Clock: clk150 (R) Logic Level : 2 Delay Ratio : 91.4% (route), 8.6% (logic) Clock Skew : -0.084 ns Setup Constraint : 8.888 ns Common Path Skew : 0.027 ns Path Slack : 2.580 ns (Passed) Source Clock Path { "path_begin": { "type":"pin", "log_name":"OSCA001.OSCA_inst/HFCLKOUT", "phy_name":"OSCA001.OSCA_inst/HFCLKOUT" }, "path_end": { "type":"pin", "log_name":"CNT01/Couti_reg[0].ff_inst/CLK", "phy_name":"CNT01.un1_Couti_cry_0_0/CLK" }, "path_sections":[ { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_141", "phy_name":"clk150" }, "arrive":2.342, "delay":2.342 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.342, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ---------------- -------- --------------------- ------ OSCA001.OSCA_inst/HFCLKOUT OSC_CORE_OSC_CORE_R1C137 CLOCK LATENCY 0.000 0.000 323 top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_141 NET DELAY 2.342 2.342 323 CNT01/Couti_reg[0].ff_inst/CLK CLOCK PIN 0.000 2.342 1 Data Path { "path_begin": { "type":"pin", "log_name":"CNT01/Couti_reg[0].ff_inst/Q", "phy_name":"CNT01.un1_Couti_cry_0_0/Q1" }, "path_end": { "type":"pin", "log_name":"LED1_0io.PIC_inst/D", "phy_name":"LED1_pad.bb_inst_IOL/TXDATA0" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"CNT01/Couti_reg[0].ff_inst/CLK", "phy_name":"CNT01.un1_Couti_cry_0_0/CLK" }, "pin1": { "log_name":"CNT01/Couti_reg[0].ff_inst/Q", "phy_name":"CNT01.un1_Couti_cry_0_0/Q1" }, "arrive":2.651, "delay":0.309 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/tm_u/secured_signal_1_41", "phy_name":"CNT1[0]" }, "arrive":4.974, "delay":2.323 }, { "type":"site_delay", "pin0": { "log_name":"LED1_1_cZ/A", "phy_name":"GND_cZ/D1" }, "pin1": { "log_name":"LED1_1_cZ/Z", "phy_name":"GND_cZ/F1" }, "arrive":5.180, "delay":0.206 }, { "type":"net_delay", "net": { "log_name":"LED1_1", "phy_name":"LED1_1" }, "arrive":8.303, "delay":3.123 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":8.303, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ---------------- -------- --------------------- ------ CNT01/Couti_reg[0].ff_inst/CLK->CNT01/Couti_reg[0].ff_inst/Q SLICE_R14C12A REG_DEL 0.309 2.651 4 top_reveal_coretop_instance/core0/tm_u/secured_signal_1_41 NET DELAY 2.323 4.974 4 LED1_1_cZ/A->LED1_1_cZ/Z SLICE_R44C64D CTOF_DEL 0.206 5.180 1 LED1_1 NET DELAY 3.123 8.303 1 LED1_0io.PIC_inst/D ENDPOINT 0.000 8.303 1 Destination Clock Path { "path_begin": { "type":"pin", "log_name":"OSCA001.OSCA_inst/HFCLKOUT", "phy_name":"OSCA001.OSCA_inst/HFCLKOUT" }, "path_end": { "type":"pin", "log_name":"LED1_0io.PIC_inst/CLK", "phy_name":"LED1_pad.bb_inst_IOL/SCLKOUT" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":8.888, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_141", "phy_name":"clk150" }, "arrive":11.146, "delay":2.258 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":11.146, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ---------------- -------- --------------------- ------ CONSTRAINT 0.000 8.888 1 OSCA001.OSCA_inst/HFCLKOUT OSC_CORE_OSC_CORE_R1C137 CLOCK LATENCY 0.000 8.888 323 top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_141 NET DELAY 2.258 11.146 323 LED1_0io.PIC_inst/CLK CLOCK PIN 0.000 11.146 1 Uncertainty -(0.000) 11.146 Common Path Skew 0.027 11.173 Setup time -(0.290) 10.883 ---------------------------------------- ------------------------ ---------------- -------- --------------------- ------ Required Time 10.883 Arrival Time -(8.302) ---------------------------------------- ------------------------ ---------------- -------- --------------------- ------ Path Slack (Passed) 2.580 ++++ Path 2 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Path Begin : CNT04/Couti_reg[15].ff_inst/Q (SLICE_R49C150A) Path End : LED4_0io.PIC_inst/D (SIOLOGIC_CORE_IOL_R31B) Source Clock : clk150 (R) Destination Clock: clk150 (R) Logic Level : 2 Delay Ratio : 91.0% (route), 9.0% (logic) Clock Skew : -0.084 ns Setup Constraint : 8.888 ns Common Path Skew : 0.027 ns Path Slack : 2.867 ns (Passed) Source Clock Path { "path_begin": { "type":"pin", "log_name":"OSCA001.OSCA_inst/HFCLKOUT", "phy_name":"OSCA001.OSCA_inst/HFCLKOUT" }, "path_end": { "type":"pin", "log_name":"CNT04/Couti_reg[15].ff_inst/CLK", "phy_name":"CNT04.un1_Couti_s_15_0/CLK" }, "path_sections":[ { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_141", "phy_name":"clk150" }, "arrive":2.342, "delay":2.342 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.342, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ---------------- -------- --------------------- ------ OSCA001.OSCA_inst/HFCLKOUT OSC_CORE_OSC_CORE_R1C137 CLOCK LATENCY 0.000 0.000 323 top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_141 NET DELAY 2.342 2.342 323 CNT04/Couti_reg[15].ff_inst/CLK CLOCK PIN 0.000 2.342 1 Data Path { "path_begin": { "type":"pin", "log_name":"CNT04/Couti_reg[15].ff_inst/Q", "phy_name":"CNT04.un1_Couti_s_15_0/Q0" }, "path_end": { "type":"pin", "log_name":"LED4_0io.PIC_inst/D", "phy_name":"LED4_pad.bb_inst_IOL/TXDATA0" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"CNT04/Couti_reg[15].ff_inst/CLK", "phy_name":"CNT04.un1_Couti_s_15_0/CLK" }, "pin1": { "log_name":"CNT04/Couti_reg[15].ff_inst/Q", "phy_name":"CNT04.un1_Couti_s_15_0/Q0" }, "arrive":2.648, "delay":0.306 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/tm_u/secured_signal_1_74", "phy_name":"CNT4[15]" }, "arrive":4.806, "delay":2.158 }, { "type":"site_delay", "pin0": { "log_name":"LED4_1_cZ/B", "phy_name":"LED4_1_cZ/B0" }, "pin1": { "log_name":"LED4_1_cZ/Z", "phy_name":"LED4_1_cZ/F0" }, "arrive":5.012, "delay":0.206 }, { "type":"net_delay", "net": { "log_name":"LED4_1", "phy_name":"LED4_1" }, "arrive":8.016, "delay":3.004 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":8.016, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ---------------- -------- --------------------- ------ CNT04/Couti_reg[15].ff_inst/CLK->CNT04/Couti_reg[15].ff_inst/Q SLICE_R49C150A REG_DEL 0.306 2.648 4 top_reveal_coretop_instance/core0/tm_u/secured_signal_1_74 NET DELAY 2.158 4.806 4 LED4_1_cZ/B->LED4_1_cZ/Z SLICE_R49C67D CTOF_DEL 0.206 5.012 1 LED4_1 NET DELAY 3.004 8.016 1 LED4_0io.PIC_inst/D ENDPOINT 0.000 8.016 1 Destination Clock Path { "path_begin": { "type":"pin", "log_name":"OSCA001.OSCA_inst/HFCLKOUT", "phy_name":"OSCA001.OSCA_inst/HFCLKOUT" }, "path_end": { "type":"pin", "log_name":"LED4_0io.PIC_inst/CLK", "phy_name":"LED4_pad.bb_inst_IOL/SCLKOUT" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":8.888, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_141", "phy_name":"clk150" }, "arrive":11.146, "delay":2.258 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":11.146, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ---------------- -------- --------------------- ------ CONSTRAINT 0.000 8.888 1 OSCA001.OSCA_inst/HFCLKOUT OSC_CORE_OSC_CORE_R1C137 CLOCK LATENCY 0.000 8.888 323 top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_141 NET DELAY 2.258 11.146 323 LED4_0io.PIC_inst/CLK CLOCK PIN 0.000 11.146 1 Uncertainty -(0.000) 11.146 Common Path Skew 0.027 11.173 Setup time -(0.290) 10.883 ---------------------------------------- ------------------------ ---------------- -------- --------------------- ------ Required Time 10.883 Arrival Time -(8.015) ---------------------------------------- ------------------------ ---------------- -------- --------------------- ------ Path Slack (Passed) 2.867 ++++ Path 3 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Path Begin : CNT03/Couti_reg[15].ff_inst/Q (SLICE_R60C11A) Path End : LED3_0io.PIC_inst/D (SIOLOGIC_CORE_IOL_R31A) Source Clock : clk150 (R) Destination Clock: clk150 (R) Logic Level : 2 Delay Ratio : 90.8% (route), 9.2% (logic) Clock Skew : -0.084 ns Setup Constraint : 8.888 ns Common Path Skew : 0.027 ns Path Slack : 2.981 ns (Passed) Source Clock Path { "path_begin": { "type":"pin", "log_name":"OSCA001.OSCA_inst/HFCLKOUT", "phy_name":"OSCA001.OSCA_inst/HFCLKOUT" }, "path_end": { "type":"pin", "log_name":"CNT03/Couti_reg[15].ff_inst/CLK", "phy_name":"CNT03.un1_Couti_s_15_0/CLK" }, "path_sections":[ { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_141", "phy_name":"clk150" }, "arrive":2.342, "delay":2.342 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.342, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ---------------- -------- --------------------- ------ OSCA001.OSCA_inst/HFCLKOUT OSC_CORE_OSC_CORE_R1C137 CLOCK LATENCY 0.000 0.000 323 top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_141 NET DELAY 2.342 2.342 323 CNT03/Couti_reg[15].ff_inst/CLK CLOCK PIN 0.000 2.342 1 Data Path { "path_begin": { "type":"pin", "log_name":"CNT03/Couti_reg[15].ff_inst/Q", "phy_name":"CNT03.un1_Couti_s_15_0/Q0" }, "path_end": { "type":"pin", "log_name":"LED3_0io.PIC_inst/D", "phy_name":"LED3_pad.bb_inst_IOL/TXDATA0" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"CNT03/Couti_reg[15].ff_inst/CLK", "phy_name":"CNT03.un1_Couti_s_15_0/CLK" }, "pin1": { "log_name":"CNT03/Couti_reg[15].ff_inst/Q", "phy_name":"CNT03.un1_Couti_s_15_0/Q0" }, "arrive":2.648, "delay":0.306 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/tm_u/secured_signal_1_58", "phy_name":"CNT3[15]" }, "arrive":4.654, "delay":2.006 }, { "type":"site_delay", "pin0": { "log_name":"LED3_1_cZ/B", "phy_name":"LED2_1_cZ/A1" }, "pin1": { "log_name":"LED3_1_cZ/Z", "phy_name":"LED2_1_cZ/F1" }, "arrive":4.860, "delay":0.206 }, { "type":"net_delay", "net": { "log_name":"LED3_1", "phy_name":"LED3_1" }, "arrive":7.902, "delay":3.042 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":7.902, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ---------------- -------- --------------------- ------ CNT03/Couti_reg[15].ff_inst/CLK->CNT03/Couti_reg[15].ff_inst/Q SLICE_R60C11A REG_DEL 0.306 2.648 4 top_reveal_coretop_instance/core0/tm_u/secured_signal_1_58 NET DELAY 2.006 4.654 4 LED3_1_cZ/B->LED3_1_cZ/Z SLICE_R49C63B CTOF_DEL 0.206 4.860 1 LED3_1 NET DELAY 3.042 7.902 1 LED3_0io.PIC_inst/D ENDPOINT 0.000 7.902 1 Destination Clock Path { "path_begin": { "type":"pin", "log_name":"OSCA001.OSCA_inst/HFCLKOUT", "phy_name":"OSCA001.OSCA_inst/HFCLKOUT" }, "path_end": { "type":"pin", "log_name":"LED3_0io.PIC_inst/CLK", "phy_name":"LED3_pad.bb_inst_IOL/SCLKOUT" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":8.888, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_141", "phy_name":"clk150" }, "arrive":11.146, "delay":2.258 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":11.146, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ---------------- -------- --------------------- ------ CONSTRAINT 0.000 8.888 1 OSCA001.OSCA_inst/HFCLKOUT OSC_CORE_OSC_CORE_R1C137 CLOCK LATENCY 0.000 8.888 323 top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_141 NET DELAY 2.258 11.146 323 LED3_0io.PIC_inst/CLK CLOCK PIN 0.000 11.146 1 Uncertainty -(0.000) 11.146 Common Path Skew 0.027 11.173 Setup time -(0.290) 10.883 ---------------------------------------- ------------------------ ---------------- -------- --------------------- ------ Required Time 10.883 Arrival Time -(7.901) ---------------------------------------- ------------------------ ---------------- -------- --------------------- ------ Path Slack (Passed) 2.981 ++++ Path 4 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Path Begin : top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_11_135/Q (SLICE_R54C53A) Path End : top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_11_95/DF (SLICE_R47C53B) Source Clock : clk150 (R) Destination Clock: clk150 (R) Logic Level : 10 Delay Ratio : 60.0% (route), 40.0% (logic) Clock Skew : -0.130 ns Setup Constraint : 8.888 ns Common Path Skew : 0.103 ns Path Slack : 3.562 ns (Passed) Source Clock Path { "path_begin": { "type":"pin", "log_name":"OSCA001.OSCA_inst/HFCLKOUT", "phy_name":"OSCA001.OSCA_inst/HFCLKOUT" }, "path_end": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_11_135/CLK", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.Ic4fpnm1ElzI6bcfzK4C4rc/CLK" }, "path_sections":[ { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_141", "phy_name":"clk150" }, "arrive":2.342, "delay":2.342 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.342, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ---------------- --------- --------------------- ------ OSCA001.OSCA_inst/HFCLKOUT OSC_CORE_OSC_CORE_R1C137 CLOCK LATENCY 0.000 0.000 323 top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_141 NET DELAY 2.342 2.342 323 top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_11_135/CLK CLOCK PIN 0.000 2.342 1 Data Path { "path_begin": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_11_135/Q", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.Ic4fpnm1ElzI6bcfzK4C4rc/Q0" }, "path_end": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_11_95/DF", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.Ie0fvlc4DA.ff_inst/DI0" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_11_135/CLK", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.Ic4fpnm1ElzI6bcfzK4C4rc/CLK" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_11_135/Q", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.Ic4fpnm1ElzI6bcfzK4C4rc/Q0" }, "arrive":2.648, "delay":0.306 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_signal_11_135", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.Nc8pHcEsIxIre" }, "arrive":3.173, "delay":0.525 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_11_69/C", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.IdqzHCkaae4atgf2l52vbJ0A680pb1xbb/C1" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_11_69/Z", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.IdqzHCkaae4atgf2l52vbJ0A680pb1xbb/F1" }, "arrive":3.387, "delay":0.214 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_signal_11_196", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.Nbd0gLshk0y1whbb" }, "arrive":3.559, "delay":0.172 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_11_70/A", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.IdqzHCkaae4atgf2l52vbJ0A680pb1xbb/A0" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_11_70/Z", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.IdqzHCkaae4atgf2l52vbJ0A680pb1xbb/F0" }, "arrive":3.773, "delay":0.214 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_signal_11_167", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.NdcByBbLIF" }, "arrive":4.794, "delay":1.021 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_11_78/A1", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.IqdwgKi79qkEwzIGgJp6Hxba/A1" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_11_78/COUT", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.IqdwgKi79qkEwzIGgJp6Hxba/FCO" }, "arrive":5.112, "delay":0.318 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_signal_11_111", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.NjzhBHr2GnijAwxcxurFentaE3a[2]" }, "arrive":5.112, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_11_77/CIN", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.IqdwgKi79qkEwzIGgJp35b7a/FCI" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_11_77/COUT", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.IqdwgKi79qkEwzIGgJp35b7a/FCO" }, "arrive":5.166, "delay":0.054 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_signal_11_110", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.NjzhBHr2GnijAwxcxurFentaE3a[4]" }, "arrive":5.166, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_11_76/CIN", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.IqdwgKi79qkEwzIGgJp0z77a/FCI" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_11_76/COUT", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.IqdwgKi79qkEwzIGgJp0z77a/FCO" }, "arrive":5.220, "delay":0.054 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_signal_11_109", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.NjzhBHr2GnijAwxcxurFentaE3a[6]" }, "arrive":5.220, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_11_75/CIN", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.IqdwgKi79qkEwzIGgJpAaDba/FCI" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_11_75/S1", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.IqdwgKi79qkEwzIGgJpAaDba/F1" }, "arrive":5.502, "delay":0.282 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_signal_11_130", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.NbcCj0hnGbwlAcxGFJcDfs1p4kmCr" }, "arrive":6.178, "delay":0.676 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_11_52/B", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.Ipfam7xdGoKjHfkmkJtvyczIdgq1CCkF2i[2]/C1" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_11_52/Z", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.Ipfam7xdGoKjHfkmkJtvyczIdgq1CCkF2i[2]/F1" }, "arrive":6.384, "delay":0.206 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_signal_11_163", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.Ne1IDB4vm9" }, "arrive":6.666, "delay":0.282 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_11_90/D", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.ImmjHJuzggHxba/D1" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_11_90/Z", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.ImmjHJuzggHxba/OFX0" }, "arrive":6.945, "delay":0.279 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_signal_11_165", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.NKvlC" }, "arrive":7.485, "delay":0.540 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_11_58/B", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.Ie0fvlc4DA.ff_inst/C0" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_11_58/Z", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.Ie0fvlc4DA.ff_inst/F0" }, "arrive":7.699, "delay":0.214 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_signal_11_150", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.Ncd" }, "arrive":7.699, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":7.699, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ---------------- --------- --------------------- ------ top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_11_135/CLK->top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_11_135/Q SLICE_R54C53A REG_DEL 0.306 2.648 5 top_reveal_coretop_instance/core0/trig_u/tu_3/secured_signal_11_135 NET DELAY 0.525 3.173 5 top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_11_69/C->top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_11_69/Z SLICE_R52C55C CTOF_DEL 0.214 3.387 1 top_reveal_coretop_instance/core0/trig_u/tu_3/secured_signal_11_196 NET DELAY 0.172 3.559 1 top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_11_70/A->top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_11_70/Z SLICE_R52C55C CTOF_DEL 0.214 3.773 1 top_reveal_coretop_instance/core0/trig_u/tu_3/secured_signal_11_167 NET DELAY 1.021 4.794 1 top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_11_78/A1->top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_11_78/COUT SLICE_R48C58B C1TOFCO_DEL 0.318 5.112 1 top_reveal_coretop_instance/core0/trig_u/tu_3/secured_signal_11_111 NET DELAY 0.000 5.112 1 top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_11_77/CIN->top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_11_77/COUT SLICE_R48C58C FCITOFCO_DEL 0.054 5.166 1 top_reveal_coretop_instance/core0/trig_u/tu_3/secured_signal_11_110 NET DELAY 0.000 5.166 1 top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_11_76/CIN->top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_11_76/COUT SLICE_R48C58D FCITOFCO_DEL 0.054 5.220 1 top_reveal_coretop_instance/core0/trig_u/tu_3/secured_signal_11_109 NET DELAY 0.000 5.220 1 top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_11_75/CIN->top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_11_75/S1 SLICE_R48C59A FCITOF1_DEL 0.282 5.502 3 top_reveal_coretop_instance/core0/trig_u/tu_3/secured_signal_11_130 NET DELAY 0.676 6.178 3 top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_11_52/B->top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_11_52/Z SLICE_R53C54C CTOF_DEL 0.206 6.384 1 top_reveal_coretop_instance/core0/trig_u/tu_3/secured_signal_11_163 NET DELAY 0.282 6.666 1 top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_11_90/D->top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_11_90/Z SLICE_R54C54A CTOOF_DEL 0.279 6.945 1 top_reveal_coretop_instance/core0/trig_u/tu_3/secured_signal_11_165 NET DELAY 0.540 7.485 1 top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_11_58/B->top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_11_58/Z SLICE_R47C53B CTOF_DEL 0.214 7.699 1 top_reveal_coretop_instance/core0/trig_u/tu_3/secured_signal_11_150 NET DELAY 0.000 7.699 1 top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_11_95/DF ENDPOINT 0.000 7.699 1 Destination Clock Path { "path_begin": { "type":"pin", "log_name":"OSCA001.OSCA_inst/HFCLKOUT", "phy_name":"OSCA001.OSCA_inst/HFCLKOUT" }, "path_end": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_11_95/CLK", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.Ie0fvlc4DA.ff_inst/CLK" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":8.888, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_141", "phy_name":"clk150" }, "arrive":11.100, "delay":2.212 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":11.100, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ---------------- --------- --------------------- ------ CONSTRAINT 0.000 8.888 1 OSCA001.OSCA_inst/HFCLKOUT OSC_CORE_OSC_CORE_R1C137 CLOCK LATENCY 0.000 8.888 323 top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_141 NET DELAY 2.212 11.100 323 {top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_11_95/CLK top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_12_95/CLK} CLOCK PIN 0.000 11.100 1 Uncertainty -(0.000) 11.100 Common Path Skew 0.103 11.203 Setup time -(-0.058) 11.261 ---------------------------------------- ------------------------ ---------------- --------- --------------------- ------ Required Time 11.261 Arrival Time -(7.698) ---------------------------------------- ------------------------ ---------------- --------- --------------------- ------ Path Slack (Passed) 3.562 ++++ Path 5 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Path Begin : CNT02/Couti_reg[15].ff_inst/Q (SLICE_R39C76A) Path End : LED2_0io.PIC_inst/D (SIOLOGIC_CORE_IOL_R28A) Source Clock : clk150 (R) Destination Clock: clk150 (R) Logic Level : 2 Delay Ratio : 89.1% (route), 10.9% (logic) Clock Skew : -0.084 ns Setup Constraint : 8.888 ns Common Path Skew : 0.027 ns Path Slack : 3.828 ns (Passed) Source Clock Path { "path_begin": { "type":"pin", "log_name":"OSCA001.OSCA_inst/HFCLKOUT", "phy_name":"OSCA001.OSCA_inst/HFCLKOUT" }, "path_end": { "type":"pin", "log_name":"CNT02/Couti_reg[15].ff_inst/CLK", "phy_name":"CNT02.un1_Couti_s_15_0/CLK" }, "path_sections":[ { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_141", "phy_name":"clk150" }, "arrive":2.342, "delay":2.342 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.342, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ---------------- -------- --------------------- ------ OSCA001.OSCA_inst/HFCLKOUT OSC_CORE_OSC_CORE_R1C137 CLOCK LATENCY 0.000 0.000 323 top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_141 NET DELAY 2.342 2.342 323 CNT02/Couti_reg[15].ff_inst/CLK CLOCK PIN 0.000 2.342 1 Data Path { "path_begin": { "type":"pin", "log_name":"CNT02/Couti_reg[15].ff_inst/Q", "phy_name":"CNT02.un1_Couti_s_15_0/Q0" }, "path_end": { "type":"pin", "log_name":"LED2_0io.PIC_inst/D", "phy_name":"LED2_pad.bb_inst_IOL/TXDATA0" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"CNT02/Couti_reg[15].ff_inst/CLK", "phy_name":"CNT02.un1_Couti_s_15_0/CLK" }, "pin1": { "log_name":"CNT02/Couti_reg[15].ff_inst/Q", "phy_name":"CNT02.un1_Couti_s_15_0/Q0" }, "arrive":2.648, "delay":0.306 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/tm_u/secured_signal_1_42", "phy_name":"CNT2[15]" }, "arrive":3.622, "delay":0.974 }, { "type":"site_delay", "pin0": { "log_name":"LED2_1_cZ/B", "phy_name":"LED2_1_cZ/A0" }, "pin1": { "log_name":"LED2_1_cZ/Z", "phy_name":"LED2_1_cZ/F0" }, "arrive":3.828, "delay":0.206 }, { "type":"net_delay", "net": { "log_name":"LED2_1", "phy_name":"LED2_1" }, "arrive":7.055, "delay":3.227 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":7.055, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ---------------- -------- --------------------- ------ CNT02/Couti_reg[15].ff_inst/CLK->CNT02/Couti_reg[15].ff_inst/Q SLICE_R39C76A REG_DEL 0.306 2.648 4 top_reveal_coretop_instance/core0/tm_u/secured_signal_1_42 NET DELAY 0.974 3.622 4 LED2_1_cZ/B->LED2_1_cZ/Z SLICE_R49C63B CTOF_DEL 0.206 3.828 1 LED2_1 NET DELAY 3.227 7.055 1 LED2_0io.PIC_inst/D ENDPOINT 0.000 7.055 1 Destination Clock Path { "path_begin": { "type":"pin", "log_name":"OSCA001.OSCA_inst/HFCLKOUT", "phy_name":"OSCA001.OSCA_inst/HFCLKOUT" }, "path_end": { "type":"pin", "log_name":"LED2_0io.PIC_inst/CLK", "phy_name":"LED2_pad.bb_inst_IOL/SCLKOUT" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":8.888, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_141", "phy_name":"clk150" }, "arrive":11.146, "delay":2.258 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":11.146, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ---------------- -------- --------------------- ------ CONSTRAINT 0.000 8.888 1 OSCA001.OSCA_inst/HFCLKOUT OSC_CORE_OSC_CORE_R1C137 CLOCK LATENCY 0.000 8.888 323 top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_141 NET DELAY 2.258 11.146 323 LED2_0io.PIC_inst/CLK CLOCK PIN 0.000 11.146 1 Uncertainty -(0.000) 11.146 Common Path Skew 0.027 11.173 Setup time -(0.290) 10.883 ---------------------------------------- ------------------------ ---------------- -------- --------------------- ------ Required Time 10.883 Arrival Time -(7.054) ---------------------------------------- ------------------------ ---------------- -------- --------------------- ------ Path Slack (Passed) 3.828 ++++ Path 6 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Path Begin : top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_474/Q (SLICE_R50C53C) Path End : {top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_13_107/CE top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_13_108/CE} (SLICE_R49C47C) Source Clock : clk150 (R) Destination Clock: clk150 (R) Logic Level : 7 Delay Ratio : 66.9% (route), 33.1% (logic) Clock Skew : -0.130 ns Setup Constraint : 8.888 ns Common Path Skew : 0.097 ns Path Slack : 3.858 ns (Passed) Source Clock Path { "path_begin": { "type":"pin", "log_name":"OSCA001.OSCA_inst/HFCLKOUT", "phy_name":"OSCA001.OSCA_inst/HFCLKOUT" }, "path_end": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_474/CLK", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.Ib4zmjgl42aqur7c/CLK" }, "path_sections":[ { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_141", "phy_name":"clk150" }, "arrive":2.342, "delay":2.342 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.342, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ---------------- -------- --------------------- ------ OSCA001.OSCA_inst/HFCLKOUT OSC_CORE_OSC_CORE_R1C137 CLOCK LATENCY 0.000 0.000 323 top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_141 NET DELAY 2.342 2.342 323 {top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_474/CLK top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_475/CLK} CLOCK PIN 0.000 2.342 1 Data Path { "path_begin": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_474/Q", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.Ib4zmjgl42aqur7c/Q0" }, "path_end": { "type":"pin", "log_name":"{top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_13_107/CE top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_13_108/CE}", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_1.Iqdwih5fnEIfCyvfjH35whIB[8]/CE" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_474/CLK", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.Ib4zmjgl42aqur7c/CLK" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_474/Q", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.Ib4zmjgl42aqur7c/Q0" }, "arrive":2.648, "delay":0.306 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_signal_2_158", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.Ne3nFILyd5q303pD9C8l[2]" }, "arrive":3.143, "delay":0.495 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_242/B", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.Ib4zmjgl42aqur7c/C0" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_242/Z", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.Ib4zmjgl42aqur7c/F0" }, "arrive":3.357, "delay":0.214 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_signal_2_586", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.Nb4zmjgl42aqur7c" }, "arrive":3.475, "delay":0.118 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_229/C", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.IcAy31tz43m18/D1" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_229/Z", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.IcAy31tz43m18/F1" }, "arrive":3.689, "delay":0.214 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/tm_u/secured_signal_1_158", "phy_name":"top_reveal_coretop_instance.core0.wen" }, "arrive":3.981, "delay":0.292 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_15_17/B", "phy_name":"top_reveal_coretop_instance.core0.trig_u.decode_u.Ifii7B9Hs8lzeEzEerH8[2]/A1" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_15_17/Z", "phy_name":"top_reveal_coretop_instance.core0.trig_u.decode_u.Ifii7B9Hs8lzeEzEerH8[2]/F1" }, "arrive":4.195, "delay":0.214 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/decode_u/secured_signal_15_26", "phy_name":"top_reveal_coretop_instance.core0.trig_u.decode_u.Nfh7o1A" }, "arrive":4.587, "delay":0.392 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_15_5/A", "phy_name":"top_reveal_coretop_instance.core0.trig_u.decode_u.Ifii7B9HtdLGHq8BId7c[0]/B1" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_15_5/Z", "phy_name":"top_reveal_coretop_instance.core0.trig_u.decode_u.Ifii7B9HtdLGHq8BId7c[0]/F1" }, "arrive":4.801, "delay":0.214 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/decode_u/secured_signal_15_27", "phy_name":"top_reveal_coretop_instance.core0.trig_u.decode_u.Nfh7o1D" }, "arrive":5.425, "delay":0.624 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_15_4/A", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_1.Ipfam7xdGoKjHfkmkJtvyczgttK7nLwCm7/B1" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_15_4/Z", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_1.Ipfam7xdGoKjHfkmkJtvyczgttK7nLwCm7/F1" }, "arrive":5.639, "delay":0.214 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_1/secured_signal_13_56", "phy_name":"top_reveal_coretop_instance.core0.trig_u.wen_tu[1]" }, "arrive":5.912, "delay":0.273 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_13_5/C", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_1.IfmqpHfyLjihmE893lg93s3sdHIgm7/B0" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_13_5/Z", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_1.IfmqpHfyLjihmE893lg93s3sdHIgm7/F0" }, "arrive":6.126, "delay":0.214 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_1/secured_signal_13_149", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_1.NfmqpHfyLjihmE893lg93s3sdHIgm7" }, "arrive":7.145, "delay":1.019 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":7.145, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ---------------- -------- --------------------- ------ top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_474/CLK->top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_474/Q SLICE_R50C53C REG_DEL 0.306 2.648 5 top_reveal_coretop_instance/core0/jtag_int_u/secured_signal_2_158 NET DELAY 0.495 3.143 5 top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_242/B->top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_242/Z SLICE_R50C53C CTOF_DEL 0.214 3.357 1 top_reveal_coretop_instance/core0/jtag_int_u/secured_signal_2_586 NET DELAY 0.118 3.475 1 top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_229/C->top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_229/Z SLICE_R50C53A CTOF_DEL 0.214 3.689 5 top_reveal_coretop_instance/core0/tm_u/secured_signal_1_158 NET DELAY 0.292 3.981 5 top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_15_17/B->top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_15_17/Z SLICE_R48C53A CTOF_DEL 0.214 4.195 3 top_reveal_coretop_instance/core0/trig_u/decode_u/secured_signal_15_26 NET DELAY 0.392 4.587 3 top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_15_5/A->top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_15_5/Z SLICE_R49C52A CTOF_DEL 0.214 4.801 4 top_reveal_coretop_instance/core0/trig_u/decode_u/secured_signal_15_27 NET DELAY 0.624 5.425 4 top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_15_4/A->top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_15_4/Z SLICE_R50C52C CTOF_DEL 0.214 5.639 3 top_reveal_coretop_instance/core0/trig_u/tu_1/secured_signal_13_56 NET DELAY 0.273 5.912 3 top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_13_5/C->top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_13_5/Z SLICE_R51C52C CTOF_DEL 0.214 6.126 8 top_reveal_coretop_instance/core0/trig_u/tu_1/secured_signal_13_149 NET DELAY 1.019 7.145 8 {top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_13_107/CE top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_13_108/CE} ENDPOINT 0.000 7.145 1 Destination Clock Path { "path_begin": { "type":"pin", "log_name":"OSCA001.OSCA_inst/HFCLKOUT", "phy_name":"OSCA001.OSCA_inst/HFCLKOUT" }, "path_end": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_13_107/CLK", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_1.Iqdwih5fnEIfCyvfjH35whIB[8]/CLK" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":8.888, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_141", "phy_name":"clk150" }, "arrive":11.100, "delay":2.212 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":11.100, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ---------------- -------- --------------------- ------ CONSTRAINT 0.000 8.888 1 OSCA001.OSCA_inst/HFCLKOUT OSC_CORE_OSC_CORE_R1C137 CLOCK LATENCY 0.000 8.888 323 top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_141 NET DELAY 2.212 11.100 323 {top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_13_107/CLK top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_13_108/CLK} CLOCK PIN 0.000 11.100 1 Uncertainty -(0.000) 11.100 Common Path Skew 0.097 11.197 Setup time -(0.194) 11.003 ---------------------------------------- ------------------------ ---------------- -------- --------------------- ------ Required Time 11.003 Arrival Time -(7.144) ---------------------------------------- ------------------------ ---------------- -------- --------------------- ------ Path Slack (Passed) 3.858 ++++ Path 7 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Path Begin : top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_474/Q (SLICE_R50C53C) Path End : {top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_13_103/CE top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_13_104/CE} (SLICE_R49C47D) Source Clock : clk150 (R) Destination Clock: clk150 (R) Logic Level : 7 Delay Ratio : 66.9% (route), 33.1% (logic) Clock Skew : -0.130 ns Setup Constraint : 8.888 ns Common Path Skew : 0.097 ns Path Slack : 3.858 ns (Passed) Source Clock Path { "path_begin": { "type":"pin", "log_name":"OSCA001.OSCA_inst/HFCLKOUT", "phy_name":"OSCA001.OSCA_inst/HFCLKOUT" }, "path_end": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_474/CLK", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.Ib4zmjgl42aqur7c/CLK" }, "path_sections":[ { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_141", "phy_name":"clk150" }, "arrive":2.342, "delay":2.342 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.342, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ---------------- -------- --------------------- ------ OSCA001.OSCA_inst/HFCLKOUT OSC_CORE_OSC_CORE_R1C137 CLOCK LATENCY 0.000 0.000 323 top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_141 NET DELAY 2.342 2.342 323 {top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_474/CLK top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_475/CLK} CLOCK PIN 0.000 2.342 1 Data Path { "path_begin": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_474/Q", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.Ib4zmjgl42aqur7c/Q0" }, "path_end": { "type":"pin", "log_name":"{top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_13_103/CE top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_13_104/CE}", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_1.Ic4fpnm1ElzI6bcfzK4C4rc/CE" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_474/CLK", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.Ib4zmjgl42aqur7c/CLK" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_474/Q", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.Ib4zmjgl42aqur7c/Q0" }, "arrive":2.648, "delay":0.306 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_signal_2_158", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.Ne3nFILyd5q303pD9C8l[2]" }, "arrive":3.143, "delay":0.495 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_242/B", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.Ib4zmjgl42aqur7c/C0" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_242/Z", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.Ib4zmjgl42aqur7c/F0" }, "arrive":3.357, "delay":0.214 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_signal_2_586", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.Nb4zmjgl42aqur7c" }, "arrive":3.475, "delay":0.118 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_229/C", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.IcAy31tz43m18/D1" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_229/Z", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.IcAy31tz43m18/F1" }, "arrive":3.689, "delay":0.214 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/tm_u/secured_signal_1_158", "phy_name":"top_reveal_coretop_instance.core0.wen" }, "arrive":3.981, "delay":0.292 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_15_17/B", "phy_name":"top_reveal_coretop_instance.core0.trig_u.decode_u.Ifii7B9Hs8lzeEzEerH8[2]/A1" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_15_17/Z", "phy_name":"top_reveal_coretop_instance.core0.trig_u.decode_u.Ifii7B9Hs8lzeEzEerH8[2]/F1" }, "arrive":4.195, "delay":0.214 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/decode_u/secured_signal_15_26", "phy_name":"top_reveal_coretop_instance.core0.trig_u.decode_u.Nfh7o1A" }, "arrive":4.587, "delay":0.392 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_15_5/A", "phy_name":"top_reveal_coretop_instance.core0.trig_u.decode_u.Ifii7B9HtdLGHq8BId7c[0]/B1" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_15_5/Z", "phy_name":"top_reveal_coretop_instance.core0.trig_u.decode_u.Ifii7B9HtdLGHq8BId7c[0]/F1" }, "arrive":4.801, "delay":0.214 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/decode_u/secured_signal_15_27", "phy_name":"top_reveal_coretop_instance.core0.trig_u.decode_u.Nfh7o1D" }, "arrive":5.425, "delay":0.624 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_15_4/A", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_1.Ipfam7xdGoKjHfkmkJtvyczgttK7nLwCm7/B1" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_15_4/Z", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_1.Ipfam7xdGoKjHfkmkJtvyczgttK7nLwCm7/F1" }, "arrive":5.639, "delay":0.214 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_1/secured_signal_13_56", "phy_name":"top_reveal_coretop_instance.core0.trig_u.wen_tu[1]" }, "arrive":5.912, "delay":0.273 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_13_5/C", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_1.IfmqpHfyLjihmE893lg93s3sdHIgm7/B0" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_13_5/Z", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_1.IfmqpHfyLjihmE893lg93s3sdHIgm7/F0" }, "arrive":6.126, "delay":0.214 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_1/secured_signal_13_149", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_1.NfmqpHfyLjihmE893lg93s3sdHIgm7" }, "arrive":7.145, "delay":1.019 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":7.145, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ---------------- -------- --------------------- ------ top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_474/CLK->top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_474/Q SLICE_R50C53C REG_DEL 0.306 2.648 5 top_reveal_coretop_instance/core0/jtag_int_u/secured_signal_2_158 NET DELAY 0.495 3.143 5 top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_242/B->top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_242/Z SLICE_R50C53C CTOF_DEL 0.214 3.357 1 top_reveal_coretop_instance/core0/jtag_int_u/secured_signal_2_586 NET DELAY 0.118 3.475 1 top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_229/C->top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_229/Z SLICE_R50C53A CTOF_DEL 0.214 3.689 5 top_reveal_coretop_instance/core0/tm_u/secured_signal_1_158 NET DELAY 0.292 3.981 5 top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_15_17/B->top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_15_17/Z SLICE_R48C53A CTOF_DEL 0.214 4.195 3 top_reveal_coretop_instance/core0/trig_u/decode_u/secured_signal_15_26 NET DELAY 0.392 4.587 3 top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_15_5/A->top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_15_5/Z SLICE_R49C52A CTOF_DEL 0.214 4.801 4 top_reveal_coretop_instance/core0/trig_u/decode_u/secured_signal_15_27 NET DELAY 0.624 5.425 4 top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_15_4/A->top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_15_4/Z SLICE_R50C52C CTOF_DEL 0.214 5.639 3 top_reveal_coretop_instance/core0/trig_u/tu_1/secured_signal_13_56 NET DELAY 0.273 5.912 3 top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_13_5/C->top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_13_5/Z SLICE_R51C52C CTOF_DEL 0.214 6.126 8 top_reveal_coretop_instance/core0/trig_u/tu_1/secured_signal_13_149 NET DELAY 1.019 7.145 8 {top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_13_103/CE top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_13_104/CE} ENDPOINT 0.000 7.145 1 Destination Clock Path { "path_begin": { "type":"pin", "log_name":"OSCA001.OSCA_inst/HFCLKOUT", "phy_name":"OSCA001.OSCA_inst/HFCLKOUT" }, "path_end": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_13_103/CLK", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_1.Ic4fpnm1ElzI6bcfzK4C4rc/CLK" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":8.888, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_141", "phy_name":"clk150" }, "arrive":11.100, "delay":2.212 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":11.100, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ---------------- -------- --------------------- ------ CONSTRAINT 0.000 8.888 1 OSCA001.OSCA_inst/HFCLKOUT OSC_CORE_OSC_CORE_R1C137 CLOCK LATENCY 0.000 8.888 323 top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_141 NET DELAY 2.212 11.100 323 {top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_13_103/CLK top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_13_104/CLK} CLOCK PIN 0.000 11.100 1 Uncertainty -(0.000) 11.100 Common Path Skew 0.097 11.197 Setup time -(0.194) 11.003 ---------------------------------------- ------------------------ ---------------- -------- --------------------- ------ Required Time 11.003 Arrival Time -(7.144) ---------------------------------------- ------------------------ ---------------- -------- --------------------- ------ Path Slack (Passed) 3.858 ++++ Path 8 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Path Begin : top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_12_132/Q (SLICE_R43C55D) Path End : top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_12_95/DF (SLICE_R47C53B) Source Clock : clk150 (R) Destination Clock: clk150 (R) Logic Level : 11 Delay Ratio : 55.4% (route), 44.6% (logic) Clock Skew : -0.130 ns Setup Constraint : 8.888 ns Common Path Skew : 0.103 ns Path Slack : 3.976 ns (Passed) Source Clock Path { "path_begin": { "type":"pin", "log_name":"OSCA001.OSCA_inst/HFCLKOUT", "phy_name":"OSCA001.OSCA_inst/HFCLKOUT" }, "path_end": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_12_132/CLK", "phy_name":"top_reveal_coretop_instance.core0.trig_u.te_1.Ik1sxxgxzayD99Dqw7668j64HHecf1DK4kG17/CLK" }, "path_sections":[ { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_141", "phy_name":"clk150" }, "arrive":2.342, "delay":2.342 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.342, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ---------------- --------- --------------------- ------ OSCA001.OSCA_inst/HFCLKOUT OSC_CORE_OSC_CORE_R1C137 CLOCK LATENCY 0.000 0.000 323 top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_141 NET DELAY 2.342 2.342 323 {top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_12_132/CLK top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_12_133/CLK} CLOCK PIN 0.000 2.342 1 Data Path { "path_begin": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_12_132/Q", "phy_name":"top_reveal_coretop_instance.core0.trig_u.te_1.Ik1sxxgxzayD99Dqw7668j64HHecf1DK4kG17/Q0" }, "path_end": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_12_95/DF", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.Ie0fvlc4DA.ff_inst/DI1" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_12_132/CLK", "phy_name":"top_reveal_coretop_instance.core0.trig_u.te_1.Ik1sxxgxzayD99Dqw7668j64HHecf1DK4kG17/CLK" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_12_132/Q", "phy_name":"top_reveal_coretop_instance.core0.trig_u.te_1.Ik1sxxgxzayD99Dqw7668j64HHecf1DK4kG17/Q0" }, "arrive":2.648, "delay":0.306 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_2/secured_signal_12_132", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_2.Nc8pHcEsIxIrb" }, "arrive":3.210, "delay":0.562 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_12_59/C", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_2.I4eFkhGaaF4d2hcoiIp8tmBEDKoC9wq/C1" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_12_59/Z", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_2.I4eFkhGaaF4d2hcoiIp8tmBEDKoC9wq/F1" }, "arrive":3.424, "delay":0.214 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_2/secured_signal_12_191", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_2.NbB8wfgotIwzDEkpqBbBwmEyCr" }, "arrive":3.719, "delay":0.295 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_12_60/D", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_2.I4eFkhGaaF4d2hcoiIp8tmBEDKoC9wq/C0" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_12_60/Z", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_2.I4eFkhGaaF4d2hcoiIp8tmBEDKoC9wq/F0" }, "arrive":3.925, "delay":0.206 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_2/secured_signal_12_162", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_2.NbcCj0hnGbwlAcxGFJcCzcy1FHvgL" }, "arrive":4.457, "delay":0.532 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_12_79/A1", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_2.Ida5ho54p4cb2kFtHoxIdwq/A1" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_12_79/COUT", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_2.Ida5ho54p4cb2kFtHoxIdwq/FCO" }, "arrive":4.775, "delay":0.318 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_2/secured_signal_12_112", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_2.NjzhBHr2GnijAwxcxurFentaE3a[0]" }, "arrive":4.775, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_12_78/CIN", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_2.IqdwgKi79qkEwzIGgJp6Hxba/FCI" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_12_78/COUT", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_2.IqdwgKi79qkEwzIGgJp6Hxba/FCO" }, "arrive":4.829, "delay":0.054 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_2/secured_signal_12_111", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_2.NjzhBHr2GnijAwxcxurFentaE3a[2]" }, "arrive":4.829, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_12_77/CIN", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_2.IqdwgKi79qkEwzIGgJp35b7a/FCI" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_12_77/COUT", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_2.IqdwgKi79qkEwzIGgJp35b7a/FCO" }, "arrive":4.883, "delay":0.054 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_2/secured_signal_12_110", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_2.NjzhBHr2GnijAwxcxurFentaE3a[4]" }, "arrive":4.883, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_12_76/CIN", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_2.IqdwgKi79qkEwzIGgJp0z77a/FCI" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_12_76/COUT", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_2.IqdwgKi79qkEwzIGgJp0z77a/FCO" }, "arrive":4.937, "delay":0.054 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_2/secured_signal_12_109", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_2.NjzhBHr2GnijAwxcxurFentaE3a[6]" }, "arrive":4.937, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_12_75/CIN", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_2.IqdwgKi79qkEwzIGgJpAaDba/FCI" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_12_75/S1", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_2.IqdwgKi79qkEwzIGgJpAaDba/F1" }, "arrive":5.219, "delay":0.282 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_2/secured_signal_12_130", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_2.NbcCj0hnGbwlAcxGFJcDfs1p4kmCr" }, "arrive":5.933, "delay":0.714 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_12_52/B", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.Ib38bJAr6oidzwGb8fb6HgAr7b[0]/C1" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_12_52/Z", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.Ib38bJAr6oidzwGb8fb6HgAr7b[0]/F1" }, "arrive":6.147, "delay":0.214 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_2/secured_signal_12_163", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_2.Ne1IDB4vm9" }, "arrive":6.557, "delay":0.410 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_12_90/D", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_2.ImmjHJuzggHxba/C1" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_12_90/Z", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_2.ImmjHJuzggHxba/OFX0" }, "arrive":6.843, "delay":0.286 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_2/secured_signal_12_165", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_2.NKvlC" }, "arrive":7.070, "delay":0.227 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_12_58/B", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.Ie0fvlc4DA.ff_inst/D1" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_12_58/Z", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.Ie0fvlc4DA.ff_inst/F1" }, "arrive":7.284, "delay":0.214 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_2/secured_signal_12_150", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_2.Ncd" }, "arrive":7.284, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":7.284, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ---------------- --------- --------------------- ------ top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_12_132/CLK->top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_12_132/Q SLICE_R43C55D REG_DEL 0.306 2.648 5 top_reveal_coretop_instance/core0/trig_u/tu_2/secured_signal_12_132 NET DELAY 0.562 3.210 5 top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_12_59/C->top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_12_59/Z SLICE_R47C56D CTOF_DEL 0.214 3.424 1 top_reveal_coretop_instance/core0/trig_u/tu_2/secured_signal_12_191 NET DELAY 0.295 3.719 1 top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_12_60/D->top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_12_60/Z SLICE_R47C56D CTOF_DEL 0.206 3.925 1 top_reveal_coretop_instance/core0/trig_u/tu_2/secured_signal_12_162 NET DELAY 0.532 4.457 1 top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_12_79/A1->top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_12_79/COUT SLICE_R45C58A C1TOFCO_DEL 0.318 4.775 1 top_reveal_coretop_instance/core0/trig_u/tu_2/secured_signal_12_112 NET DELAY 0.000 4.775 1 top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_12_78/CIN->top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_12_78/COUT SLICE_R45C58B FCITOFCO_DEL 0.054 4.829 1 top_reveal_coretop_instance/core0/trig_u/tu_2/secured_signal_12_111 NET DELAY 0.000 4.829 1 top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_12_77/CIN->top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_12_77/COUT SLICE_R45C58C FCITOFCO_DEL 0.054 4.883 1 top_reveal_coretop_instance/core0/trig_u/tu_2/secured_signal_12_110 NET DELAY 0.000 4.883 1 top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_12_76/CIN->top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_12_76/COUT SLICE_R45C58D FCITOFCO_DEL 0.054 4.937 1 top_reveal_coretop_instance/core0/trig_u/tu_2/secured_signal_12_109 NET DELAY 0.000 4.937 1 top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_12_75/CIN->top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_12_75/S1 SLICE_R45C59A FCITOF1_DEL 0.282 5.219 3 top_reveal_coretop_instance/core0/trig_u/tu_2/secured_signal_12_130 NET DELAY 0.714 5.933 3 top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_12_52/B->top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_12_52/Z SLICE_R50C55C CTOF_DEL 0.214 6.147 1 top_reveal_coretop_instance/core0/trig_u/tu_2/secured_signal_12_163 NET DELAY 0.410 6.557 1 top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_12_90/D->top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_12_90/Z SLICE_R47C55C CTOOF_DEL 0.286 6.843 1 top_reveal_coretop_instance/core0/trig_u/tu_2/secured_signal_12_165 NET DELAY 0.227 7.070 1 top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_12_58/B->top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_12_58/Z SLICE_R47C53B CTOF_DEL 0.214 7.284 1 top_reveal_coretop_instance/core0/trig_u/tu_2/secured_signal_12_150 NET DELAY 0.000 7.284 1 top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_12_95/DF ENDPOINT 0.000 7.284 1 Destination Clock Path { "path_begin": { "type":"pin", "log_name":"OSCA001.OSCA_inst/HFCLKOUT", "phy_name":"OSCA001.OSCA_inst/HFCLKOUT" }, "path_end": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_11_95/CLK", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.Ie0fvlc4DA.ff_inst/CLK" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":8.888, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_141", "phy_name":"clk150" }, "arrive":11.100, "delay":2.212 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":11.100, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ---------------- --------- --------------------- ------ CONSTRAINT 0.000 8.888 1 OSCA001.OSCA_inst/HFCLKOUT OSC_CORE_OSC_CORE_R1C137 CLOCK LATENCY 0.000 8.888 323 top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_141 NET DELAY 2.212 11.100 323 {top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_11_95/CLK top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_12_95/CLK} CLOCK PIN 0.000 11.100 1 Uncertainty -(0.000) 11.100 Common Path Skew 0.103 11.203 Setup time -(-0.057) 11.260 ---------------------------------------- ------------------------ ---------------- --------- --------------------- ------ Required Time 11.260 Arrival Time -(7.283) ---------------------------------------- ------------------------ ---------------- --------- --------------------- ------ Path Slack (Passed) 3.976 ++++ Path 9 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Path Begin : top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_474/Q (SLICE_R50C53C) Path End : {top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_13_101/CE top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_13_102/CE} (SLICE_R50C49C) Source Clock : clk150 (R) Destination Clock: clk150 (R) Logic Level : 7 Delay Ratio : 65.4% (route), 34.6% (logic) Clock Skew : -0.130 ns Setup Constraint : 8.888 ns Common Path Skew : 0.097 ns Path Slack : 4.060 ns (Passed) Source Clock Path { "path_begin": { "type":"pin", "log_name":"OSCA001.OSCA_inst/HFCLKOUT", "phy_name":"OSCA001.OSCA_inst/HFCLKOUT" }, "path_end": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_474/CLK", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.Ib4zmjgl42aqur7c/CLK" }, "path_sections":[ { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_141", "phy_name":"clk150" }, "arrive":2.342, "delay":2.342 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.342, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ---------------- -------- --------------------- ------ OSCA001.OSCA_inst/HFCLKOUT OSC_CORE_OSC_CORE_R1C137 CLOCK LATENCY 0.000 0.000 323 top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_141 NET DELAY 2.342 2.342 323 {top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_474/CLK top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_475/CLK} CLOCK PIN 0.000 2.342 1 Data Path { "path_begin": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_474/Q", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.Ib4zmjgl42aqur7c/Q0" }, "path_end": { "type":"pin", "log_name":"{top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_13_101/CE top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_13_102/CE}", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.IpCiqEIqvHty6vrAp7bwDIrb[2]/CE" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_474/CLK", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.Ib4zmjgl42aqur7c/CLK" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_474/Q", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.Ib4zmjgl42aqur7c/Q0" }, "arrive":2.648, "delay":0.306 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_signal_2_158", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.Ne3nFILyd5q303pD9C8l[2]" }, "arrive":3.143, "delay":0.495 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_242/B", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.Ib4zmjgl42aqur7c/C0" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_242/Z", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.Ib4zmjgl42aqur7c/F0" }, "arrive":3.357, "delay":0.214 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_signal_2_586", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.Nb4zmjgl42aqur7c" }, "arrive":3.475, "delay":0.118 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_229/C", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.IcAy31tz43m18/D1" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_229/Z", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.IcAy31tz43m18/F1" }, "arrive":3.689, "delay":0.214 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/tm_u/secured_signal_1_158", "phy_name":"top_reveal_coretop_instance.core0.wen" }, "arrive":3.981, "delay":0.292 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_15_17/B", "phy_name":"top_reveal_coretop_instance.core0.trig_u.decode_u.Ifii7B9Hs8lzeEzEerH8[2]/A1" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_15_17/Z", "phy_name":"top_reveal_coretop_instance.core0.trig_u.decode_u.Ifii7B9Hs8lzeEzEerH8[2]/F1" }, "arrive":4.195, "delay":0.214 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/decode_u/secured_signal_15_26", "phy_name":"top_reveal_coretop_instance.core0.trig_u.decode_u.Nfh7o1A" }, "arrive":4.587, "delay":0.392 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_15_5/A", "phy_name":"top_reveal_coretop_instance.core0.trig_u.decode_u.Ifii7B9HtdLGHq8BId7c[0]/B1" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_15_5/Z", "phy_name":"top_reveal_coretop_instance.core0.trig_u.decode_u.Ifii7B9HtdLGHq8BId7c[0]/F1" }, "arrive":4.801, "delay":0.214 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/decode_u/secured_signal_15_27", "phy_name":"top_reveal_coretop_instance.core0.trig_u.decode_u.Nfh7o1D" }, "arrive":5.425, "delay":0.624 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_15_4/A", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_1.Ipfam7xdGoKjHfkmkJtvyczgttK7nLwCm7/B1" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_15_4/Z", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_1.Ipfam7xdGoKjHfkmkJtvyczgttK7nLwCm7/F1" }, "arrive":5.639, "delay":0.214 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_1/secured_signal_13_56", "phy_name":"top_reveal_coretop_instance.core0.trig_u.wen_tu[1]" }, "arrive":5.912, "delay":0.273 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_13_5/C", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_1.IfmqpHfyLjihmE893lg93s3sdHIgm7/B0" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_13_5/Z", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_1.IfmqpHfyLjihmE893lg93s3sdHIgm7/F0" }, "arrive":6.126, "delay":0.214 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_1/secured_signal_13_149", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_1.NfmqpHfyLjihmE893lg93s3sdHIgm7" }, "arrive":6.943, "delay":0.817 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":6.943, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ---------------- -------- --------------------- ------ top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_474/CLK->top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_474/Q SLICE_R50C53C REG_DEL 0.306 2.648 5 top_reveal_coretop_instance/core0/jtag_int_u/secured_signal_2_158 NET DELAY 0.495 3.143 5 top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_242/B->top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_242/Z SLICE_R50C53C CTOF_DEL 0.214 3.357 1 top_reveal_coretop_instance/core0/jtag_int_u/secured_signal_2_586 NET DELAY 0.118 3.475 1 top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_229/C->top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_229/Z SLICE_R50C53A CTOF_DEL 0.214 3.689 5 top_reveal_coretop_instance/core0/tm_u/secured_signal_1_158 NET DELAY 0.292 3.981 5 top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_15_17/B->top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_15_17/Z SLICE_R48C53A CTOF_DEL 0.214 4.195 3 top_reveal_coretop_instance/core0/trig_u/decode_u/secured_signal_15_26 NET DELAY 0.392 4.587 3 top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_15_5/A->top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_15_5/Z SLICE_R49C52A CTOF_DEL 0.214 4.801 4 top_reveal_coretop_instance/core0/trig_u/decode_u/secured_signal_15_27 NET DELAY 0.624 5.425 4 top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_15_4/A->top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_15_4/Z SLICE_R50C52C CTOF_DEL 0.214 5.639 3 top_reveal_coretop_instance/core0/trig_u/tu_1/secured_signal_13_56 NET DELAY 0.273 5.912 3 top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_13_5/C->top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_13_5/Z SLICE_R51C52C CTOF_DEL 0.214 6.126 8 top_reveal_coretop_instance/core0/trig_u/tu_1/secured_signal_13_149 NET DELAY 0.817 6.943 8 {top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_13_101/CE top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_13_102/CE} ENDPOINT 0.000 6.943 1 Destination Clock Path { "path_begin": { "type":"pin", "log_name":"OSCA001.OSCA_inst/HFCLKOUT", "phy_name":"OSCA001.OSCA_inst/HFCLKOUT" }, "path_end": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_13_101/CLK", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.IpCiqEIqvHty6vrAp7bwDIrb[2]/CLK" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":8.888, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_141", "phy_name":"clk150" }, "arrive":11.100, "delay":2.212 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":11.100, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ---------------- -------- --------------------- ------ CONSTRAINT 0.000 8.888 1 OSCA001.OSCA_inst/HFCLKOUT OSC_CORE_OSC_CORE_R1C137 CLOCK LATENCY 0.000 8.888 323 top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_141 NET DELAY 2.212 11.100 323 {top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_13_101/CLK top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_13_102/CLK} CLOCK PIN 0.000 11.100 1 Uncertainty -(0.000) 11.100 Common Path Skew 0.097 11.197 Setup time -(0.194) 11.003 ---------------------------------------- ------------------------ ---------------- -------- --------------------- ------ Required Time 11.003 Arrival Time -(6.942) ---------------------------------------- ------------------------ ---------------- -------- --------------------- ------ Path Slack (Passed) 4.060 ++++ Path 10 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Path Begin : top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_474/Q (SLICE_R50C53C) Path End : {top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_13_110/CE top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_13_109/CE} (SLICE_R50C49D) Source Clock : clk150 (R) Destination Clock: clk150 (R) Logic Level : 7 Delay Ratio : 65.4% (route), 34.6% (logic) Clock Skew : -0.130 ns Setup Constraint : 8.888 ns Common Path Skew : 0.097 ns Path Slack : 4.060 ns (Passed) Source Clock Path { "path_begin": { "type":"pin", "log_name":"OSCA001.OSCA_inst/HFCLKOUT", "phy_name":"OSCA001.OSCA_inst/HFCLKOUT" }, "path_end": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_474/CLK", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.Ib4zmjgl42aqur7c/CLK" }, "path_sections":[ { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_141", "phy_name":"clk150" }, "arrive":2.342, "delay":2.342 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.342, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ---------------- -------- --------------------- ------ OSCA001.OSCA_inst/HFCLKOUT OSC_CORE_OSC_CORE_R1C137 CLOCK LATENCY 0.000 0.000 323 top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_141 NET DELAY 2.342 2.342 323 {top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_474/CLK top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_475/CLK} CLOCK PIN 0.000 2.342 1 Data Path { "path_begin": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_474/Q", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.Ib4zmjgl42aqur7c/Q0" }, "path_end": { "type":"pin", "log_name":"{top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_13_110/CE top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_13_109/CE}", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.Io0Ig9gzFcLEpp5vavF7xF2o/CE" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_474/CLK", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.Ib4zmjgl42aqur7c/CLK" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_474/Q", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.Ib4zmjgl42aqur7c/Q0" }, "arrive":2.648, "delay":0.306 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_signal_2_158", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.Ne3nFILyd5q303pD9C8l[2]" }, "arrive":3.143, "delay":0.495 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_242/B", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.Ib4zmjgl42aqur7c/C0" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_242/Z", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.Ib4zmjgl42aqur7c/F0" }, "arrive":3.357, "delay":0.214 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_signal_2_586", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.Nb4zmjgl42aqur7c" }, "arrive":3.475, "delay":0.118 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_229/C", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.IcAy31tz43m18/D1" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_229/Z", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.IcAy31tz43m18/F1" }, "arrive":3.689, "delay":0.214 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/tm_u/secured_signal_1_158", "phy_name":"top_reveal_coretop_instance.core0.wen" }, "arrive":3.981, "delay":0.292 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_15_17/B", "phy_name":"top_reveal_coretop_instance.core0.trig_u.decode_u.Ifii7B9Hs8lzeEzEerH8[2]/A1" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_15_17/Z", "phy_name":"top_reveal_coretop_instance.core0.trig_u.decode_u.Ifii7B9Hs8lzeEzEerH8[2]/F1" }, "arrive":4.195, "delay":0.214 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/decode_u/secured_signal_15_26", "phy_name":"top_reveal_coretop_instance.core0.trig_u.decode_u.Nfh7o1A" }, "arrive":4.587, "delay":0.392 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_15_5/A", "phy_name":"top_reveal_coretop_instance.core0.trig_u.decode_u.Ifii7B9HtdLGHq8BId7c[0]/B1" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_15_5/Z", "phy_name":"top_reveal_coretop_instance.core0.trig_u.decode_u.Ifii7B9HtdLGHq8BId7c[0]/F1" }, "arrive":4.801, "delay":0.214 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/decode_u/secured_signal_15_27", "phy_name":"top_reveal_coretop_instance.core0.trig_u.decode_u.Nfh7o1D" }, "arrive":5.425, "delay":0.624 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_15_4/A", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_1.Ipfam7xdGoKjHfkmkJtvyczgttK7nLwCm7/B1" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_15_4/Z", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_1.Ipfam7xdGoKjHfkmkJtvyczgttK7nLwCm7/F1" }, "arrive":5.639, "delay":0.214 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_1/secured_signal_13_56", "phy_name":"top_reveal_coretop_instance.core0.trig_u.wen_tu[1]" }, "arrive":5.912, "delay":0.273 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_13_5/C", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_1.IfmqpHfyLjihmE893lg93s3sdHIgm7/B0" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_13_5/Z", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_1.IfmqpHfyLjihmE893lg93s3sdHIgm7/F0" }, "arrive":6.126, "delay":0.214 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_1/secured_signal_13_149", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_1.NfmqpHfyLjihmE893lg93s3sdHIgm7" }, "arrive":6.943, "delay":0.817 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":6.943, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ---------------- -------- --------------------- ------ top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_474/CLK->top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_474/Q SLICE_R50C53C REG_DEL 0.306 2.648 5 top_reveal_coretop_instance/core0/jtag_int_u/secured_signal_2_158 NET DELAY 0.495 3.143 5 top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_242/B->top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_242/Z SLICE_R50C53C CTOF_DEL 0.214 3.357 1 top_reveal_coretop_instance/core0/jtag_int_u/secured_signal_2_586 NET DELAY 0.118 3.475 1 top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_229/C->top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_229/Z SLICE_R50C53A CTOF_DEL 0.214 3.689 5 top_reveal_coretop_instance/core0/tm_u/secured_signal_1_158 NET DELAY 0.292 3.981 5 top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_15_17/B->top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_15_17/Z SLICE_R48C53A CTOF_DEL 0.214 4.195 3 top_reveal_coretop_instance/core0/trig_u/decode_u/secured_signal_15_26 NET DELAY 0.392 4.587 3 top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_15_5/A->top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_15_5/Z SLICE_R49C52A CTOF_DEL 0.214 4.801 4 top_reveal_coretop_instance/core0/trig_u/decode_u/secured_signal_15_27 NET DELAY 0.624 5.425 4 top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_15_4/A->top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_15_4/Z SLICE_R50C52C CTOF_DEL 0.214 5.639 3 top_reveal_coretop_instance/core0/trig_u/tu_1/secured_signal_13_56 NET DELAY 0.273 5.912 3 top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_13_5/C->top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_13_5/Z SLICE_R51C52C CTOF_DEL 0.214 6.126 8 top_reveal_coretop_instance/core0/trig_u/tu_1/secured_signal_13_149 NET DELAY 0.817 6.943 8 {top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_13_110/CE top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_13_109/CE} ENDPOINT 0.000 6.943 1 Destination Clock Path { "path_begin": { "type":"pin", "log_name":"OSCA001.OSCA_inst/HFCLKOUT", "phy_name":"OSCA001.OSCA_inst/HFCLKOUT" }, "path_end": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_13_110/CLK", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.Io0Ig9gzFcLEpp5vavF7xF2o/CLK" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":8.888, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_141", "phy_name":"clk150" }, "arrive":11.100, "delay":2.212 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":11.100, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ---------------- -------- --------------------- ------ CONSTRAINT 0.000 8.888 1 OSCA001.OSCA_inst/HFCLKOUT OSC_CORE_OSC_CORE_R1C137 CLOCK LATENCY 0.000 8.888 323 top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_141 NET DELAY 2.212 11.100 323 {top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_13_110/CLK top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_13_109/CLK} CLOCK PIN 0.000 11.100 1 Uncertainty -(0.000) 11.100 Common Path Skew 0.097 11.197 Setup time -(0.194) 11.003 ---------------------------------------- ------------------------ ---------------- -------- --------------------- ------ Required Time 11.003 Arrival Time -(6.942) ---------------------------------------- ------------------------ ---------------- -------- --------------------- ------ Path Slack (Passed) 4.060 +++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ End of Detailed Report for timing paths +++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ ########################################################## 3 Setup at Speed Grade 9_High-Performance_1.0V Corner at -40 Degrees 3.1 Clock Summary 3.1.1 Clock "clk150" create_clock -name {clk150} -period 8.88889 [get_pins {OSCA001.OSCA_inst/HFCLKOUT }] Single Clock Domain ------------------------------------------------------------------------------------------------------- Clock clk150 | | Period | Frequency ------------------------------------------------------------------------------------------------------- From clk150 | Target | 8.889 ns | 112.500 MHz | Actual (all paths) | 6.195 ns | 161.421 MHz OSCA001.OSCA_inst/HFCLKOUT (MPW) | (50% duty cycle) | 4.358 ns | 229.463 MHz ------------------------------------------------------------------------------------------------------- Clock Domain Crossing ------------------------------------------------------------------------------------------------------ Clock clk150 | Worst Time Between Edges | Comment ------------------------------------------------------------------------------------------------------ From rvltck | ---- | False path From rvjtck | ---- | False path ------------------------------------------------------------------------------------------------------ 3.1.2 Clock "rvltck" create_clock -name {rvltck} -period 33.33 [get_ports TCK] Single Clock Domain ------------------------------------------------------------------------------------------------------- Clock rvltck | | Period | Frequency ------------------------------------------------------------------------------------------------------- From rvltck | Target | 33.330 ns | 30.003 MHz | Actual (all paths) | 5.000 ns | 200.000 MHz jtaghub_inst/IB_inst2.bb_inst/B (MPW) | (50% duty cycle) | 5.000 ns | 200.000 MHz ------------------------------------------------------------------------------------------------------- Clock Domain Crossing ------------------------------------------------------------------------------------------------------ Clock rvltck | Worst Time Between Edges | Comment ------------------------------------------------------------------------------------------------------ From clk150 | ---- | False path From rvjtck | ---- | False path ------------------------------------------------------------------------------------------------------ 3.1.3 Clock "rvjtck" create_generated_clock -name {rvjtck} -source [get_ports TCK] [get_nets jtck] Single Clock Domain ------------------------------------------------------------------------------------------------------- Clock rvjtck | | Period | Frequency ------------------------------------------------------------------------------------------------------- From rvjtck | Target | 33.330 ns | 30.003 MHz | Actual (all paths) | 2.920 ns | 342.466 MHz top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_instance_0_65/CLKR (MPW) | (50% duty cycle) | 2.920 ns | 342.466 MHz ------------------------------------------------------------------------------------------------------- Clock Domain Crossing ------------------------------------------------------------------------------------------------------ Clock rvjtck | Worst Time Between Edges | Comment ------------------------------------------------------------------------------------------------------ From clk150 | ---- | False path From rvltck | ---- | False path ------------------------------------------------------------------------------------------------------ 3.2 Endpoint slacks ------------------------------------------------------- Listing 10 End Points | Slack ------------------------------------------------------- LED1_0io.PIC_inst/D | 2.694 ns LED4_0io.PIC_inst/D | 2.978 ns LED3_0io.PIC_inst/D | 3.107 ns top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_11_95/DF | 3.723 ns LED2_0io.PIC_inst/D | 3.905 ns {top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_13_107/CE top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_13_108/CE} | 4.014 ns {top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_13_103/CE top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_13_104/CE} | 4.014 ns top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_12_95/DF | 4.120 ns {top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_13_110/CE top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_13_109/CE} | 4.214 ns top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_13_95/DF | 4.214 ns ------------------------------------------------------- | Setup # of endpoints with negative slack:| 0 | ------------------------------------------------------- 3.3 Detailed Report XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX Detail report of critical paths XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX +++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Detailed Report for timing paths +++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ ++++Path 1 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Path Begin : CNT01/Couti_reg[0].ff_inst/Q (SLICE_R14C12A) Path End : LED1_0io.PIC_inst/D (SIOLOGIC_CORE_IOL_R28B) Source Clock : clk150 (R) Destination Clock: clk150 (R) Logic Level : 2 Delay Ratio : 91.1% (route), 8.9% (logic) Clock Skew : -0.101 ns Setup Constraint : 8.888 ns Common Path Skew : 0.031 ns Path Slack : 2.693 ns (Passed) Source Clock Path { "path_begin": { "type":"pin", "log_name":"OSCA001.OSCA_inst/HFCLKOUT", "phy_name":"OSCA001.OSCA_inst/HFCLKOUT" }, "path_end": { "type":"pin", "log_name":"CNT01/Couti_reg[0].ff_inst/CLK", "phy_name":"CNT01.un1_Couti_cry_0_0/CLK" }, "path_sections":[ { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_141", "phy_name":"clk150" }, "arrive":2.654, "delay":2.654 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.654, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ---------------- -------- --------------------- ------ OSCA001.OSCA_inst/HFCLKOUT OSC_CORE_OSC_CORE_R1C137 CLOCK LATENCY 0.000 0.000 324 top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_141 NET DELAY 2.654 2.654 324 CNT01/Couti_reg[0].ff_inst/CLK CLOCK PIN 0.000 2.654 1 Data Path { "path_begin": { "type":"pin", "log_name":"CNT01/Couti_reg[0].ff_inst/Q", "phy_name":"CNT01.un1_Couti_cry_0_0/Q1" }, "path_end": { "type":"pin", "log_name":"LED1_0io.PIC_inst/D", "phy_name":"LED1_pad.bb_inst_IOL/TXDATA0" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"CNT01/Couti_reg[0].ff_inst/CLK", "phy_name":"CNT01.un1_Couti_cry_0_0/CLK" }, "pin1": { "log_name":"CNT01/Couti_reg[0].ff_inst/Q", "phy_name":"CNT01.un1_Couti_cry_0_0/Q1" }, "arrive":2.956, "delay":0.302 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/tm_u/secured_signal_1_41", "phy_name":"CNT1[0]" }, "arrive":5.210, "delay":2.254 }, { "type":"site_delay", "pin0": { "log_name":"LED1_1_cZ/A", "phy_name":"GND_cZ/D1" }, "pin1": { "log_name":"LED1_1_cZ/Z", "phy_name":"GND_cZ/F1" }, "arrive":5.421, "delay":0.211 }, { "type":"net_delay", "net": { "log_name":"LED1_1", "phy_name":"LED1_1" }, "arrive":8.442, "delay":3.021 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":8.442, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ---------------- -------- --------------------- ------ CNT01/Couti_reg[0].ff_inst/CLK->CNT01/Couti_reg[0].ff_inst/Q SLICE_R14C12A REG_DEL 0.302 2.956 4 top_reveal_coretop_instance/core0/tm_u/secured_signal_1_41 NET DELAY 2.254 5.210 4 LED1_1_cZ/A->LED1_1_cZ/Z SLICE_R44C64D CTOF_DEL 0.211 5.421 1 LED1_1 NET DELAY 3.021 8.442 1 LED1_0io.PIC_inst/D ENDPOINT 0.000 8.442 1 Destination Clock Path { "path_begin": { "type":"pin", "log_name":"OSCA001.OSCA_inst/HFCLKOUT", "phy_name":"OSCA001.OSCA_inst/HFCLKOUT" }, "path_end": { "type":"pin", "log_name":"LED1_0io.PIC_inst/CLK", "phy_name":"LED1_pad.bb_inst_IOL/SCLKOUT" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":8.888, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_141", "phy_name":"clk150" }, "arrive":11.441, "delay":2.553 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":11.441, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ---------------- -------- --------------------- ------ CONSTRAINT 0.000 8.888 1 OSCA001.OSCA_inst/HFCLKOUT OSC_CORE_OSC_CORE_R1C137 CLOCK LATENCY 0.000 8.888 324 top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_141 NET DELAY 2.553 11.441 324 LED1_0io.PIC_inst/CLK CLOCK PIN 0.000 11.441 1 Uncertainty -(0.000) 11.441 Common Path Skew 0.031 11.472 Setup time -(0.337) 11.135 ---------------------------------------- ------------------------ ---------------- -------- --------------------- ------ Required Time 11.135 Arrival Time -(8.441) ---------------------------------------- ------------------------ ---------------- -------- --------------------- ------ Path Slack (Passed) 2.693 ++++ Path 2 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Path Begin : CNT04/Couti_reg[15].ff_inst/Q (SLICE_R49C150A) Path End : LED4_0io.PIC_inst/D (SIOLOGIC_CORE_IOL_R31B) Source Clock : clk150 (R) Destination Clock: clk150 (R) Logic Level : 2 Delay Ratio : 90.7% (route), 9.3% (logic) Clock Skew : -0.101 ns Setup Constraint : 8.888 ns Common Path Skew : 0.031 ns Path Slack : 2.977 ns (Passed) Source Clock Path { "path_begin": { "type":"pin", "log_name":"OSCA001.OSCA_inst/HFCLKOUT", "phy_name":"OSCA001.OSCA_inst/HFCLKOUT" }, "path_end": { "type":"pin", "log_name":"CNT04/Couti_reg[15].ff_inst/CLK", "phy_name":"CNT04.un1_Couti_s_15_0/CLK" }, "path_sections":[ { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_141", "phy_name":"clk150" }, "arrive":2.654, "delay":2.654 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.654, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ---------------- -------- --------------------- ------ OSCA001.OSCA_inst/HFCLKOUT OSC_CORE_OSC_CORE_R1C137 CLOCK LATENCY 0.000 0.000 324 top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_141 NET DELAY 2.654 2.654 324 CNT04/Couti_reg[15].ff_inst/CLK CLOCK PIN 0.000 2.654 1 Data Path { "path_begin": { "type":"pin", "log_name":"CNT04/Couti_reg[15].ff_inst/Q", "phy_name":"CNT04.un1_Couti_s_15_0/Q0" }, "path_end": { "type":"pin", "log_name":"LED4_0io.PIC_inst/D", "phy_name":"LED4_pad.bb_inst_IOL/TXDATA0" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"CNT04/Couti_reg[15].ff_inst/CLK", "phy_name":"CNT04.un1_Couti_s_15_0/CLK" }, "pin1": { "log_name":"CNT04/Couti_reg[15].ff_inst/Q", "phy_name":"CNT04.un1_Couti_s_15_0/Q0" }, "arrive":2.955, "delay":0.301 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/tm_u/secured_signal_1_74", "phy_name":"CNT4[15]" }, "arrive":5.041, "delay":2.086 }, { "type":"site_delay", "pin0": { "log_name":"LED4_1_cZ/B", "phy_name":"LED4_1_cZ/B0" }, "pin1": { "log_name":"LED4_1_cZ/Z", "phy_name":"LED4_1_cZ/F0" }, "arrive":5.252, "delay":0.211 }, { "type":"net_delay", "net": { "log_name":"LED4_1", "phy_name":"LED4_1" }, "arrive":8.158, "delay":2.906 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":8.158, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ---------------- -------- --------------------- ------ CNT04/Couti_reg[15].ff_inst/CLK->CNT04/Couti_reg[15].ff_inst/Q SLICE_R49C150A REG_DEL 0.301 2.955 4 top_reveal_coretop_instance/core0/tm_u/secured_signal_1_74 NET DELAY 2.086 5.041 4 LED4_1_cZ/B->LED4_1_cZ/Z SLICE_R49C67D CTOF_DEL 0.211 5.252 1 LED4_1 NET DELAY 2.906 8.158 1 LED4_0io.PIC_inst/D ENDPOINT 0.000 8.158 1 Destination Clock Path { "path_begin": { "type":"pin", "log_name":"OSCA001.OSCA_inst/HFCLKOUT", "phy_name":"OSCA001.OSCA_inst/HFCLKOUT" }, "path_end": { "type":"pin", "log_name":"LED4_0io.PIC_inst/CLK", "phy_name":"LED4_pad.bb_inst_IOL/SCLKOUT" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":8.888, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_141", "phy_name":"clk150" }, "arrive":11.441, "delay":2.553 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":11.441, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ---------------- -------- --------------------- ------ CONSTRAINT 0.000 8.888 1 OSCA001.OSCA_inst/HFCLKOUT OSC_CORE_OSC_CORE_R1C137 CLOCK LATENCY 0.000 8.888 324 top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_141 NET DELAY 2.553 11.441 324 LED4_0io.PIC_inst/CLK CLOCK PIN 0.000 11.441 1 Uncertainty -(0.000) 11.441 Common Path Skew 0.031 11.472 Setup time -(0.337) 11.135 ---------------------------------------- ------------------------ ---------------- -------- --------------------- ------ Required Time 11.135 Arrival Time -(8.157) ---------------------------------------- ------------------------ ---------------- -------- --------------------- ------ Path Slack (Passed) 2.977 ++++ Path 3 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Path Begin : CNT03/Couti_reg[15].ff_inst/Q (SLICE_R60C11A) Path End : LED3_0io.PIC_inst/D (SIOLOGIC_CORE_IOL_R31A) Source Clock : clk150 (R) Destination Clock: clk150 (R) Logic Level : 2 Delay Ratio : 90.5% (route), 9.5% (logic) Clock Skew : -0.101 ns Setup Constraint : 8.888 ns Common Path Skew : 0.031 ns Path Slack : 3.106 ns (Passed) Source Clock Path { "path_begin": { "type":"pin", "log_name":"OSCA001.OSCA_inst/HFCLKOUT", "phy_name":"OSCA001.OSCA_inst/HFCLKOUT" }, "path_end": { "type":"pin", "log_name":"CNT03/Couti_reg[15].ff_inst/CLK", "phy_name":"CNT03.un1_Couti_s_15_0/CLK" }, "path_sections":[ { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_141", "phy_name":"clk150" }, "arrive":2.654, "delay":2.654 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.654, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ---------------- -------- --------------------- ------ OSCA001.OSCA_inst/HFCLKOUT OSC_CORE_OSC_CORE_R1C137 CLOCK LATENCY 0.000 0.000 324 top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_141 NET DELAY 2.654 2.654 324 CNT03/Couti_reg[15].ff_inst/CLK CLOCK PIN 0.000 2.654 1 Data Path { "path_begin": { "type":"pin", "log_name":"CNT03/Couti_reg[15].ff_inst/Q", "phy_name":"CNT03.un1_Couti_s_15_0/Q0" }, "path_end": { "type":"pin", "log_name":"LED3_0io.PIC_inst/D", "phy_name":"LED3_pad.bb_inst_IOL/TXDATA0" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"CNT03/Couti_reg[15].ff_inst/CLK", "phy_name":"CNT03.un1_Couti_s_15_0/CLK" }, "pin1": { "log_name":"CNT03/Couti_reg[15].ff_inst/Q", "phy_name":"CNT03.un1_Couti_s_15_0/Q0" }, "arrive":2.955, "delay":0.301 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/tm_u/secured_signal_1_58", "phy_name":"CNT3[15]" }, "arrive":4.875, "delay":1.920 }, { "type":"site_delay", "pin0": { "log_name":"LED3_1_cZ/B", "phy_name":"LED2_1_cZ/A1" }, "pin1": { "log_name":"LED3_1_cZ/Z", "phy_name":"LED2_1_cZ/F1" }, "arrive":5.086, "delay":0.211 }, { "type":"net_delay", "net": { "log_name":"LED3_1", "phy_name":"LED3_1" }, "arrive":8.029, "delay":2.943 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":8.029, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ---------------- -------- --------------------- ------ CNT03/Couti_reg[15].ff_inst/CLK->CNT03/Couti_reg[15].ff_inst/Q SLICE_R60C11A REG_DEL 0.301 2.955 4 top_reveal_coretop_instance/core0/tm_u/secured_signal_1_58 NET DELAY 1.920 4.875 4 LED3_1_cZ/B->LED3_1_cZ/Z SLICE_R49C63B CTOF_DEL 0.211 5.086 1 LED3_1 NET DELAY 2.943 8.029 1 LED3_0io.PIC_inst/D ENDPOINT 0.000 8.029 1 Destination Clock Path { "path_begin": { "type":"pin", "log_name":"OSCA001.OSCA_inst/HFCLKOUT", "phy_name":"OSCA001.OSCA_inst/HFCLKOUT" }, "path_end": { "type":"pin", "log_name":"LED3_0io.PIC_inst/CLK", "phy_name":"LED3_pad.bb_inst_IOL/SCLKOUT" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":8.888, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_141", "phy_name":"clk150" }, "arrive":11.441, "delay":2.553 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":11.441, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ---------------- -------- --------------------- ------ CONSTRAINT 0.000 8.888 1 OSCA001.OSCA_inst/HFCLKOUT OSC_CORE_OSC_CORE_R1C137 CLOCK LATENCY 0.000 8.888 324 top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_141 NET DELAY 2.553 11.441 324 LED3_0io.PIC_inst/CLK CLOCK PIN 0.000 11.441 1 Uncertainty -(0.000) 11.441 Common Path Skew 0.031 11.472 Setup time -(0.337) 11.135 ---------------------------------------- ------------------------ ---------------- -------- --------------------- ------ Required Time 11.135 Arrival Time -(8.028) ---------------------------------------- ------------------------ ---------------- -------- --------------------- ------ Path Slack (Passed) 3.106 ++++ Path 4 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Path Begin : top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_11_135/Q (SLICE_R54C53A) Path End : top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_11_95/DF (SLICE_R47C53B) Source Clock : clk150 (R) Destination Clock: clk150 (R) Logic Level : 10 Delay Ratio : 58.3% (route), 41.7% (logic) Clock Skew : -0.148 ns Setup Constraint : 8.888 ns Common Path Skew : 0.118 ns Path Slack : 3.722 ns (Passed) Source Clock Path { "path_begin": { "type":"pin", "log_name":"OSCA001.OSCA_inst/HFCLKOUT", "phy_name":"OSCA001.OSCA_inst/HFCLKOUT" }, "path_end": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_11_135/CLK", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.Ic4fpnm1ElzI6bcfzK4C4rc/CLK" }, "path_sections":[ { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_141", "phy_name":"clk150" }, "arrive":2.654, "delay":2.654 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.654, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ---------------- --------- --------------------- ------ OSCA001.OSCA_inst/HFCLKOUT OSC_CORE_OSC_CORE_R1C137 CLOCK LATENCY 0.000 0.000 324 top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_141 NET DELAY 2.654 2.654 324 top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_11_135/CLK CLOCK PIN 0.000 2.654 1 Data Path { "path_begin": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_11_135/Q", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.Ic4fpnm1ElzI6bcfzK4C4rc/Q0" }, "path_end": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_11_95/DF", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.Ie0fvlc4DA.ff_inst/DI0" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_11_135/CLK", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.Ic4fpnm1ElzI6bcfzK4C4rc/CLK" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_11_135/Q", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.Ic4fpnm1ElzI6bcfzK4C4rc/Q0" }, "arrive":2.955, "delay":0.301 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_signal_11_135", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.Nc8pHcEsIxIre" }, "arrive":3.447, "delay":0.492 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_11_69/C", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.IdqzHCkaae4atgf2l52vbJ0A680pb1xbb/C1" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_11_69/Z", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.IdqzHCkaae4atgf2l52vbJ0A680pb1xbb/F1" }, "arrive":3.666, "delay":0.219 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_signal_11_196", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.Nbd0gLshk0y1whbb" }, "arrive":3.826, "delay":0.160 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_11_70/A", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.IdqzHCkaae4atgf2l52vbJ0A680pb1xbb/A0" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_11_70/Z", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.IdqzHCkaae4atgf2l52vbJ0A680pb1xbb/F0" }, "arrive":4.037, "delay":0.211 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_signal_11_167", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.NdcByBbLIF" }, "arrive":5.031, "delay":0.994 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_11_78/A1", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.IqdwgKi79qkEwzIGgJp6Hxba/A1" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_11_78/COUT", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.IqdwgKi79qkEwzIGgJp6Hxba/FCO" }, "arrive":5.356, "delay":0.325 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_signal_11_111", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.NjzhBHr2GnijAwxcxurFentaE3a[2]" }, "arrive":5.356, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_11_77/CIN", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.IqdwgKi79qkEwzIGgJp35b7a/FCI" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_11_77/COUT", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.IqdwgKi79qkEwzIGgJp35b7a/FCO" }, "arrive":5.411, "delay":0.055 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_signal_11_110", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.NjzhBHr2GnijAwxcxurFentaE3a[4]" }, "arrive":5.411, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_11_76/CIN", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.IqdwgKi79qkEwzIGgJp0z77a/FCI" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_11_76/COUT", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.IqdwgKi79qkEwzIGgJp0z77a/FCO" }, "arrive":5.466, "delay":0.055 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_signal_11_109", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.NjzhBHr2GnijAwxcxurFentaE3a[6]" }, "arrive":5.466, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_11_75/CIN", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.IqdwgKi79qkEwzIGgJpAaDba/FCI" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_11_75/S1", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.IqdwgKi79qkEwzIGgJpAaDba/F1" }, "arrive":5.754, "delay":0.288 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_signal_11_130", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.NbcCj0hnGbwlAcxGFJcDfs1p4kmCr" }, "arrive":6.363, "delay":0.609 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_11_52/B", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.Ipfam7xdGoKjHfkmkJtvyczIdgq1CCkF2i[2]/C1" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_11_52/Z", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.Ipfam7xdGoKjHfkmkJtvyczIdgq1CCkF2i[2]/F1" }, "arrive":6.574, "delay":0.211 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_signal_11_163", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.Ne1IDB4vm9" }, "arrive":6.846, "delay":0.272 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_11_90/D", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.ImmjHJuzggHxba/D1" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_11_90/Z", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.ImmjHJuzggHxba/OFX0" }, "arrive":7.130, "delay":0.284 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_signal_11_165", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.NKvlC" }, "arrive":7.630, "delay":0.500 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_11_58/B", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.Ie0fvlc4DA.ff_inst/C0" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_11_58/Z", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.Ie0fvlc4DA.ff_inst/F0" }, "arrive":7.849, "delay":0.219 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_signal_11_150", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.Ncd" }, "arrive":7.849, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":7.849, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ---------------- --------- --------------------- ------ top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_11_135/CLK->top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_11_135/Q SLICE_R54C53A REG_DEL 0.301 2.955 5 top_reveal_coretop_instance/core0/trig_u/tu_3/secured_signal_11_135 NET DELAY 0.492 3.447 5 top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_11_69/C->top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_11_69/Z SLICE_R52C55C CTOF_DEL 0.219 3.666 1 top_reveal_coretop_instance/core0/trig_u/tu_3/secured_signal_11_196 NET DELAY 0.160 3.826 1 top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_11_70/A->top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_11_70/Z SLICE_R52C55C CTOF_DEL 0.211 4.037 1 top_reveal_coretop_instance/core0/trig_u/tu_3/secured_signal_11_167 NET DELAY 0.994 5.031 1 top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_11_78/A1->top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_11_78/COUT SLICE_R48C58B C1TOFCO_DEL 0.325 5.356 1 top_reveal_coretop_instance/core0/trig_u/tu_3/secured_signal_11_111 NET DELAY 0.000 5.356 1 top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_11_77/CIN->top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_11_77/COUT SLICE_R48C58C FCITOFCO_DEL 0.055 5.411 1 top_reveal_coretop_instance/core0/trig_u/tu_3/secured_signal_11_110 NET DELAY 0.000 5.411 1 top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_11_76/CIN->top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_11_76/COUT SLICE_R48C58D FCITOFCO_DEL 0.055 5.466 1 top_reveal_coretop_instance/core0/trig_u/tu_3/secured_signal_11_109 NET DELAY 0.000 5.466 1 top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_11_75/CIN->top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_11_75/S1 SLICE_R48C59A FCITOF1_DEL 0.288 5.754 3 top_reveal_coretop_instance/core0/trig_u/tu_3/secured_signal_11_130 NET DELAY 0.609 6.363 3 top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_11_52/B->top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_11_52/Z SLICE_R53C54C CTOF_DEL 0.211 6.574 1 top_reveal_coretop_instance/core0/trig_u/tu_3/secured_signal_11_163 NET DELAY 0.272 6.846 1 top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_11_90/D->top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_11_90/Z SLICE_R54C54A CTOOF_DEL 0.284 7.130 1 top_reveal_coretop_instance/core0/trig_u/tu_3/secured_signal_11_165 NET DELAY 0.500 7.630 1 top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_11_58/B->top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_11_58/Z SLICE_R47C53B CTOF_DEL 0.219 7.849 1 top_reveal_coretop_instance/core0/trig_u/tu_3/secured_signal_11_150 NET DELAY 0.000 7.849 1 top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_11_95/DF ENDPOINT 0.000 7.849 1 Destination Clock Path { "path_begin": { "type":"pin", "log_name":"OSCA001.OSCA_inst/HFCLKOUT", "phy_name":"OSCA001.OSCA_inst/HFCLKOUT" }, "path_end": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_11_95/CLK", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.Ie0fvlc4DA.ff_inst/CLK" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":8.888, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_141", "phy_name":"clk150" }, "arrive":11.394, "delay":2.506 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":11.394, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ---------------- --------- --------------------- ------ CONSTRAINT 0.000 8.888 1 OSCA001.OSCA_inst/HFCLKOUT OSC_CORE_OSC_CORE_R1C137 CLOCK LATENCY 0.000 8.888 324 top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_141 NET DELAY 2.506 11.394 324 {top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_11_95/CLK top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_12_95/CLK} CLOCK PIN 0.000 11.394 1 Uncertainty -(0.000) 11.394 Common Path Skew 0.118 11.512 Setup time -(-0.059) 11.571 ---------------------------------------- ------------------------ ---------------- --------- --------------------- ------ Required Time 11.571 Arrival Time -(7.848) ---------------------------------------- ------------------------ ---------------- --------- --------------------- ------ Path Slack (Passed) 3.722 ++++ Path 5 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Path Begin : CNT02/Couti_reg[15].ff_inst/Q (SLICE_R39C76A) Path End : LED2_0io.PIC_inst/D (SIOLOGIC_CORE_IOL_R28A) Source Clock : clk150 (R) Destination Clock: clk150 (R) Logic Level : 2 Delay Ratio : 88.8% (route), 11.2% (logic) Clock Skew : -0.101 ns Setup Constraint : 8.888 ns Common Path Skew : 0.031 ns Path Slack : 3.904 ns (Passed) Source Clock Path { "path_begin": { "type":"pin", "log_name":"OSCA001.OSCA_inst/HFCLKOUT", "phy_name":"OSCA001.OSCA_inst/HFCLKOUT" }, "path_end": { "type":"pin", "log_name":"CNT02/Couti_reg[15].ff_inst/CLK", "phy_name":"CNT02.un1_Couti_s_15_0/CLK" }, "path_sections":[ { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_141", "phy_name":"clk150" }, "arrive":2.654, "delay":2.654 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.654, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ---------------- -------- --------------------- ------ OSCA001.OSCA_inst/HFCLKOUT OSC_CORE_OSC_CORE_R1C137 CLOCK LATENCY 0.000 0.000 324 top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_141 NET DELAY 2.654 2.654 324 CNT02/Couti_reg[15].ff_inst/CLK CLOCK PIN 0.000 2.654 1 Data Path { "path_begin": { "type":"pin", "log_name":"CNT02/Couti_reg[15].ff_inst/Q", "phy_name":"CNT02.un1_Couti_s_15_0/Q0" }, "path_end": { "type":"pin", "log_name":"LED2_0io.PIC_inst/D", "phy_name":"LED2_pad.bb_inst_IOL/TXDATA0" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"CNT02/Couti_reg[15].ff_inst/CLK", "phy_name":"CNT02.un1_Couti_s_15_0/CLK" }, "pin1": { "log_name":"CNT02/Couti_reg[15].ff_inst/Q", "phy_name":"CNT02.un1_Couti_s_15_0/Q0" }, "arrive":2.955, "delay":0.301 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/tm_u/secured_signal_1_42", "phy_name":"CNT2[15]" }, "arrive":3.899, "delay":0.944 }, { "type":"site_delay", "pin0": { "log_name":"LED2_1_cZ/B", "phy_name":"LED2_1_cZ/A0" }, "pin1": { "log_name":"LED2_1_cZ/Z", "phy_name":"LED2_1_cZ/F0" }, "arrive":4.110, "delay":0.211 }, { "type":"net_delay", "net": { "log_name":"LED2_1", "phy_name":"LED2_1" }, "arrive":7.231, "delay":3.121 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":7.231, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ---------------- -------- --------------------- ------ CNT02/Couti_reg[15].ff_inst/CLK->CNT02/Couti_reg[15].ff_inst/Q SLICE_R39C76A REG_DEL 0.301 2.955 4 top_reveal_coretop_instance/core0/tm_u/secured_signal_1_42 NET DELAY 0.944 3.899 4 LED2_1_cZ/B->LED2_1_cZ/Z SLICE_R49C63B CTOF_DEL 0.211 4.110 1 LED2_1 NET DELAY 3.121 7.231 1 LED2_0io.PIC_inst/D ENDPOINT 0.000 7.231 1 Destination Clock Path { "path_begin": { "type":"pin", "log_name":"OSCA001.OSCA_inst/HFCLKOUT", "phy_name":"OSCA001.OSCA_inst/HFCLKOUT" }, "path_end": { "type":"pin", "log_name":"LED2_0io.PIC_inst/CLK", "phy_name":"LED2_pad.bb_inst_IOL/SCLKOUT" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":8.888, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_141", "phy_name":"clk150" }, "arrive":11.441, "delay":2.553 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":11.441, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ---------------- -------- --------------------- ------ CONSTRAINT 0.000 8.888 1 OSCA001.OSCA_inst/HFCLKOUT OSC_CORE_OSC_CORE_R1C137 CLOCK LATENCY 0.000 8.888 324 top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_141 NET DELAY 2.553 11.441 324 LED2_0io.PIC_inst/CLK CLOCK PIN 0.000 11.441 1 Uncertainty -(0.000) 11.441 Common Path Skew 0.031 11.472 Setup time -(0.337) 11.135 ---------------------------------------- ------------------------ ---------------- -------- --------------------- ------ Required Time 11.135 Arrival Time -(7.230) ---------------------------------------- ------------------------ ---------------- -------- --------------------- ------ Path Slack (Passed) 3.904 ++++ Path 6 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Path Begin : top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_474/Q (SLICE_R50C53C) Path End : {top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_13_107/CE top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_13_108/CE} (SLICE_R49C47C) Source Clock : clk150 (R) Destination Clock: clk150 (R) Logic Level : 7 Delay Ratio : 65.9% (route), 34.1% (logic) Clock Skew : -0.148 ns Setup Constraint : 8.888 ns Common Path Skew : 0.111 ns Path Slack : 4.013 ns (Passed) Source Clock Path { "path_begin": { "type":"pin", "log_name":"OSCA001.OSCA_inst/HFCLKOUT", "phy_name":"OSCA001.OSCA_inst/HFCLKOUT" }, "path_end": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_474/CLK", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.Ib4zmjgl42aqur7c/CLK" }, "path_sections":[ { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_141", "phy_name":"clk150" }, "arrive":2.654, "delay":2.654 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.654, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ---------------- -------- --------------------- ------ OSCA001.OSCA_inst/HFCLKOUT OSC_CORE_OSC_CORE_R1C137 CLOCK LATENCY 0.000 0.000 324 top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_141 NET DELAY 2.654 2.654 324 {top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_474/CLK top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_475/CLK} CLOCK PIN 0.000 2.654 1 Data Path { "path_begin": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_474/Q", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.Ib4zmjgl42aqur7c/Q0" }, "path_end": { "type":"pin", "log_name":"{top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_13_107/CE top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_13_108/CE}", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_1.Iqdwih5fnEIfCyvfjH35whIB[8]/CE" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_474/CLK", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.Ib4zmjgl42aqur7c/CLK" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_474/Q", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.Ib4zmjgl42aqur7c/Q0" }, "arrive":2.955, "delay":0.301 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_signal_2_158", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.Ne3nFILyd5q303pD9C8l[2]" }, "arrive":3.419, "delay":0.464 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_242/B", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.Ib4zmjgl42aqur7c/C0" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_242/Z", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.Ib4zmjgl42aqur7c/F0" }, "arrive":3.638, "delay":0.219 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_signal_2_586", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.Nb4zmjgl42aqur7c" }, "arrive":3.743, "delay":0.105 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_229/C", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.IcAy31tz43m18/D1" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_229/Z", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.IcAy31tz43m18/F1" }, "arrive":3.962, "delay":0.219 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/tm_u/secured_signal_1_158", "phy_name":"top_reveal_coretop_instance.core0.wen" }, "arrive":4.229, "delay":0.267 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_15_17/B", "phy_name":"top_reveal_coretop_instance.core0.trig_u.decode_u.Ifii7B9Hs8lzeEzEerH8[2]/A1" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_15_17/Z", "phy_name":"top_reveal_coretop_instance.core0.trig_u.decode_u.Ifii7B9Hs8lzeEzEerH8[2]/F1" }, "arrive":4.440, "delay":0.211 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/decode_u/secured_signal_15_26", "phy_name":"top_reveal_coretop_instance.core0.trig_u.decode_u.Nfh7o1A" }, "arrive":4.815, "delay":0.375 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_15_5/A", "phy_name":"top_reveal_coretop_instance.core0.trig_u.decode_u.Ifii7B9HtdLGHq8BId7c[0]/B1" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_15_5/Z", "phy_name":"top_reveal_coretop_instance.core0.trig_u.decode_u.Ifii7B9HtdLGHq8BId7c[0]/F1" }, "arrive":5.026, "delay":0.211 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/decode_u/secured_signal_15_27", "phy_name":"top_reveal_coretop_instance.core0.trig_u.decode_u.Nfh7o1D" }, "arrive":5.623, "delay":0.597 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_15_4/A", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_1.Ipfam7xdGoKjHfkmkJtvyczgttK7nLwCm7/B1" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_15_4/Z", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_1.Ipfam7xdGoKjHfkmkJtvyczgttK7nLwCm7/F1" }, "arrive":5.834, "delay":0.211 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_1/secured_signal_13_56", "phy_name":"top_reveal_coretop_instance.core0.trig_u.wen_tu[1]" }, "arrive":6.097, "delay":0.263 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_13_5/C", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_1.IfmqpHfyLjihmE893lg93s3sdHIgm7/B0" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_13_5/Z", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_1.IfmqpHfyLjihmE893lg93s3sdHIgm7/F0" }, "arrive":6.308, "delay":0.211 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_1/secured_signal_13_149", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_1.NfmqpHfyLjihmE893lg93s3sdHIgm7" }, "arrive":7.303, "delay":0.995 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":7.303, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ---------------- -------- --------------------- ------ top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_474/CLK->top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_474/Q SLICE_R50C53C REG_DEL 0.301 2.955 5 top_reveal_coretop_instance/core0/jtag_int_u/secured_signal_2_158 NET DELAY 0.464 3.419 5 top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_242/B->top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_242/Z SLICE_R50C53C CTOF_DEL 0.219 3.638 1 top_reveal_coretop_instance/core0/jtag_int_u/secured_signal_2_586 NET DELAY 0.105 3.743 1 top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_229/C->top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_229/Z SLICE_R50C53A CTOF_DEL 0.219 3.962 5 top_reveal_coretop_instance/core0/tm_u/secured_signal_1_158 NET DELAY 0.267 4.229 5 top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_15_17/B->top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_15_17/Z SLICE_R48C53A CTOF_DEL 0.211 4.440 3 top_reveal_coretop_instance/core0/trig_u/decode_u/secured_signal_15_26 NET DELAY 0.375 4.815 3 top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_15_5/A->top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_15_5/Z SLICE_R49C52A CTOF_DEL 0.211 5.026 4 top_reveal_coretop_instance/core0/trig_u/decode_u/secured_signal_15_27 NET DELAY 0.597 5.623 4 top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_15_4/A->top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_15_4/Z SLICE_R50C52C CTOF_DEL 0.211 5.834 3 top_reveal_coretop_instance/core0/trig_u/tu_1/secured_signal_13_56 NET DELAY 0.263 6.097 3 top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_13_5/C->top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_13_5/Z SLICE_R51C52C CTOF_DEL 0.211 6.308 8 top_reveal_coretop_instance/core0/trig_u/tu_1/secured_signal_13_149 NET DELAY 0.995 7.303 8 {top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_13_107/CE top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_13_108/CE} ENDPOINT 0.000 7.303 1 Destination Clock Path { "path_begin": { "type":"pin", "log_name":"OSCA001.OSCA_inst/HFCLKOUT", "phy_name":"OSCA001.OSCA_inst/HFCLKOUT" }, "path_end": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_13_107/CLK", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_1.Iqdwih5fnEIfCyvfjH35whIB[8]/CLK" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":8.888, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_141", "phy_name":"clk150" }, "arrive":11.394, "delay":2.506 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":11.394, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ---------------- -------- --------------------- ------ CONSTRAINT 0.000 8.888 1 OSCA001.OSCA_inst/HFCLKOUT OSC_CORE_OSC_CORE_R1C137 CLOCK LATENCY 0.000 8.888 324 top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_141 NET DELAY 2.506 11.394 324 {top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_13_107/CLK top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_13_108/CLK} CLOCK PIN 0.000 11.394 1 Uncertainty -(0.000) 11.394 Common Path Skew 0.111 11.505 Setup time -(0.189) 11.316 ---------------------------------------- ------------------------ ---------------- -------- --------------------- ------ Required Time 11.316 Arrival Time -(7.302) ---------------------------------------- ------------------------ ---------------- -------- --------------------- ------ Path Slack (Passed) 4.013 ++++ Path 7 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Path Begin : top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_474/Q (SLICE_R50C53C) Path End : {top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_13_103/CE top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_13_104/CE} (SLICE_R49C47D) Source Clock : clk150 (R) Destination Clock: clk150 (R) Logic Level : 7 Delay Ratio : 65.9% (route), 34.1% (logic) Clock Skew : -0.148 ns Setup Constraint : 8.888 ns Common Path Skew : 0.111 ns Path Slack : 4.013 ns (Passed) Source Clock Path { "path_begin": { "type":"pin", "log_name":"OSCA001.OSCA_inst/HFCLKOUT", "phy_name":"OSCA001.OSCA_inst/HFCLKOUT" }, "path_end": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_474/CLK", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.Ib4zmjgl42aqur7c/CLK" }, "path_sections":[ { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_141", "phy_name":"clk150" }, "arrive":2.654, "delay":2.654 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.654, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ---------------- -------- --------------------- ------ OSCA001.OSCA_inst/HFCLKOUT OSC_CORE_OSC_CORE_R1C137 CLOCK LATENCY 0.000 0.000 324 top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_141 NET DELAY 2.654 2.654 324 {top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_474/CLK top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_475/CLK} CLOCK PIN 0.000 2.654 1 Data Path { "path_begin": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_474/Q", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.Ib4zmjgl42aqur7c/Q0" }, "path_end": { "type":"pin", "log_name":"{top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_13_103/CE top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_13_104/CE}", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_1.Ic4fpnm1ElzI6bcfzK4C4rc/CE" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_474/CLK", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.Ib4zmjgl42aqur7c/CLK" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_474/Q", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.Ib4zmjgl42aqur7c/Q0" }, "arrive":2.955, "delay":0.301 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_signal_2_158", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.Ne3nFILyd5q303pD9C8l[2]" }, "arrive":3.419, "delay":0.464 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_242/B", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.Ib4zmjgl42aqur7c/C0" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_242/Z", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.Ib4zmjgl42aqur7c/F0" }, "arrive":3.638, "delay":0.219 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_signal_2_586", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.Nb4zmjgl42aqur7c" }, "arrive":3.743, "delay":0.105 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_229/C", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.IcAy31tz43m18/D1" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_229/Z", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.IcAy31tz43m18/F1" }, "arrive":3.962, "delay":0.219 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/tm_u/secured_signal_1_158", "phy_name":"top_reveal_coretop_instance.core0.wen" }, "arrive":4.229, "delay":0.267 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_15_17/B", "phy_name":"top_reveal_coretop_instance.core0.trig_u.decode_u.Ifii7B9Hs8lzeEzEerH8[2]/A1" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_15_17/Z", "phy_name":"top_reveal_coretop_instance.core0.trig_u.decode_u.Ifii7B9Hs8lzeEzEerH8[2]/F1" }, "arrive":4.440, "delay":0.211 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/decode_u/secured_signal_15_26", "phy_name":"top_reveal_coretop_instance.core0.trig_u.decode_u.Nfh7o1A" }, "arrive":4.815, "delay":0.375 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_15_5/A", "phy_name":"top_reveal_coretop_instance.core0.trig_u.decode_u.Ifii7B9HtdLGHq8BId7c[0]/B1" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_15_5/Z", "phy_name":"top_reveal_coretop_instance.core0.trig_u.decode_u.Ifii7B9HtdLGHq8BId7c[0]/F1" }, "arrive":5.026, "delay":0.211 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/decode_u/secured_signal_15_27", "phy_name":"top_reveal_coretop_instance.core0.trig_u.decode_u.Nfh7o1D" }, "arrive":5.623, "delay":0.597 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_15_4/A", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_1.Ipfam7xdGoKjHfkmkJtvyczgttK7nLwCm7/B1" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_15_4/Z", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_1.Ipfam7xdGoKjHfkmkJtvyczgttK7nLwCm7/F1" }, "arrive":5.834, "delay":0.211 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_1/secured_signal_13_56", "phy_name":"top_reveal_coretop_instance.core0.trig_u.wen_tu[1]" }, "arrive":6.097, "delay":0.263 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_13_5/C", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_1.IfmqpHfyLjihmE893lg93s3sdHIgm7/B0" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_13_5/Z", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_1.IfmqpHfyLjihmE893lg93s3sdHIgm7/F0" }, "arrive":6.308, "delay":0.211 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_1/secured_signal_13_149", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_1.NfmqpHfyLjihmE893lg93s3sdHIgm7" }, "arrive":7.303, "delay":0.995 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":7.303, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ---------------- -------- --------------------- ------ top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_474/CLK->top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_474/Q SLICE_R50C53C REG_DEL 0.301 2.955 5 top_reveal_coretop_instance/core0/jtag_int_u/secured_signal_2_158 NET DELAY 0.464 3.419 5 top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_242/B->top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_242/Z SLICE_R50C53C CTOF_DEL 0.219 3.638 1 top_reveal_coretop_instance/core0/jtag_int_u/secured_signal_2_586 NET DELAY 0.105 3.743 1 top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_229/C->top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_229/Z SLICE_R50C53A CTOF_DEL 0.219 3.962 5 top_reveal_coretop_instance/core0/tm_u/secured_signal_1_158 NET DELAY 0.267 4.229 5 top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_15_17/B->top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_15_17/Z SLICE_R48C53A CTOF_DEL 0.211 4.440 3 top_reveal_coretop_instance/core0/trig_u/decode_u/secured_signal_15_26 NET DELAY 0.375 4.815 3 top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_15_5/A->top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_15_5/Z SLICE_R49C52A CTOF_DEL 0.211 5.026 4 top_reveal_coretop_instance/core0/trig_u/decode_u/secured_signal_15_27 NET DELAY 0.597 5.623 4 top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_15_4/A->top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_15_4/Z SLICE_R50C52C CTOF_DEL 0.211 5.834 3 top_reveal_coretop_instance/core0/trig_u/tu_1/secured_signal_13_56 NET DELAY 0.263 6.097 3 top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_13_5/C->top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_13_5/Z SLICE_R51C52C CTOF_DEL 0.211 6.308 8 top_reveal_coretop_instance/core0/trig_u/tu_1/secured_signal_13_149 NET DELAY 0.995 7.303 8 {top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_13_103/CE top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_13_104/CE} ENDPOINT 0.000 7.303 1 Destination Clock Path { "path_begin": { "type":"pin", "log_name":"OSCA001.OSCA_inst/HFCLKOUT", "phy_name":"OSCA001.OSCA_inst/HFCLKOUT" }, "path_end": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_13_103/CLK", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_1.Ic4fpnm1ElzI6bcfzK4C4rc/CLK" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":8.888, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_141", "phy_name":"clk150" }, "arrive":11.394, "delay":2.506 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":11.394, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ---------------- -------- --------------------- ------ CONSTRAINT 0.000 8.888 1 OSCA001.OSCA_inst/HFCLKOUT OSC_CORE_OSC_CORE_R1C137 CLOCK LATENCY 0.000 8.888 324 top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_141 NET DELAY 2.506 11.394 324 {top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_13_103/CLK top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_13_104/CLK} CLOCK PIN 0.000 11.394 1 Uncertainty -(0.000) 11.394 Common Path Skew 0.111 11.505 Setup time -(0.189) 11.316 ---------------------------------------- ------------------------ ---------------- -------- --------------------- ------ Required Time 11.316 Arrival Time -(7.302) ---------------------------------------- ------------------------ ---------------- -------- --------------------- ------ Path Slack (Passed) 4.013 ++++ Path 8 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Path Begin : top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_12_132/Q (SLICE_R43C55D) Path End : top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_12_95/DF (SLICE_R47C53B) Source Clock : clk150 (R) Destination Clock: clk150 (R) Logic Level : 11 Delay Ratio : 54.3% (route), 45.7% (logic) Clock Skew : -0.148 ns Setup Constraint : 8.888 ns Common Path Skew : 0.118 ns Path Slack : 4.119 ns (Passed) Source Clock Path { "path_begin": { "type":"pin", "log_name":"OSCA001.OSCA_inst/HFCLKOUT", "phy_name":"OSCA001.OSCA_inst/HFCLKOUT" }, "path_end": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_12_132/CLK", "phy_name":"top_reveal_coretop_instance.core0.trig_u.te_1.Ik1sxxgxzayD99Dqw7668j64HHecf1DK4kG17/CLK" }, "path_sections":[ { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_141", "phy_name":"clk150" }, "arrive":2.654, "delay":2.654 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.654, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ---------------- --------- --------------------- ------ OSCA001.OSCA_inst/HFCLKOUT OSC_CORE_OSC_CORE_R1C137 CLOCK LATENCY 0.000 0.000 324 top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_141 NET DELAY 2.654 2.654 324 {top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_12_132/CLK top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_12_133/CLK} CLOCK PIN 0.000 2.654 1 Data Path { "path_begin": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_12_132/Q", "phy_name":"top_reveal_coretop_instance.core0.trig_u.te_1.Ik1sxxgxzayD99Dqw7668j64HHecf1DK4kG17/Q0" }, "path_end": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_12_95/DF", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.Ie0fvlc4DA.ff_inst/DI1" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_12_132/CLK", "phy_name":"top_reveal_coretop_instance.core0.trig_u.te_1.Ik1sxxgxzayD99Dqw7668j64HHecf1DK4kG17/CLK" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_12_132/Q", "phy_name":"top_reveal_coretop_instance.core0.trig_u.te_1.Ik1sxxgxzayD99Dqw7668j64HHecf1DK4kG17/Q0" }, "arrive":2.955, "delay":0.301 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_2/secured_signal_12_132", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_2.Nc8pHcEsIxIrb" }, "arrive":3.494, "delay":0.539 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_12_59/C", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_2.I4eFkhGaaF4d2hcoiIp8tmBEDKoC9wq/C1" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_12_59/Z", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_2.I4eFkhGaaF4d2hcoiIp8tmBEDKoC9wq/F1" }, "arrive":3.713, "delay":0.219 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_2/secured_signal_12_191", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_2.NbB8wfgotIwzDEkpqBbBwmEyCr" }, "arrive":3.982, "delay":0.269 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_12_60/D", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_2.I4eFkhGaaF4d2hcoiIp8tmBEDKoC9wq/C0" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_12_60/Z", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_2.I4eFkhGaaF4d2hcoiIp8tmBEDKoC9wq/F0" }, "arrive":4.193, "delay":0.211 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_2/secured_signal_12_162", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_2.NbcCj0hnGbwlAcxGFJcCzcy1FHvgL" }, "arrive":4.709, "delay":0.516 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_12_79/A1", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_2.Ida5ho54p4cb2kFtHoxIdwq/A1" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_12_79/COUT", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_2.Ida5ho54p4cb2kFtHoxIdwq/FCO" }, "arrive":5.034, "delay":0.325 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_2/secured_signal_12_112", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_2.NjzhBHr2GnijAwxcxurFentaE3a[0]" }, "arrive":5.034, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_12_78/CIN", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_2.IqdwgKi79qkEwzIGgJp6Hxba/FCI" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_12_78/COUT", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_2.IqdwgKi79qkEwzIGgJp6Hxba/FCO" }, "arrive":5.089, "delay":0.055 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_2/secured_signal_12_111", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_2.NjzhBHr2GnijAwxcxurFentaE3a[2]" }, "arrive":5.089, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_12_77/CIN", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_2.IqdwgKi79qkEwzIGgJp35b7a/FCI" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_12_77/COUT", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_2.IqdwgKi79qkEwzIGgJp35b7a/FCO" }, "arrive":5.144, "delay":0.055 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_2/secured_signal_12_110", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_2.NjzhBHr2GnijAwxcxurFentaE3a[4]" }, "arrive":5.144, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_12_76/CIN", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_2.IqdwgKi79qkEwzIGgJp0z77a/FCI" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_12_76/COUT", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_2.IqdwgKi79qkEwzIGgJp0z77a/FCO" }, "arrive":5.199, "delay":0.055 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_2/secured_signal_12_109", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_2.NjzhBHr2GnijAwxcxurFentaE3a[6]" }, "arrive":5.199, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_12_75/CIN", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_2.IqdwgKi79qkEwzIGgJpAaDba/FCI" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_12_75/S1", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_2.IqdwgKi79qkEwzIGgJpAaDba/F1" }, "arrive":5.442, "delay":0.243 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_2/secured_signal_12_130", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_2.NbcCj0hnGbwlAcxGFJcDfs1p4kmCr" }, "arrive":6.131, "delay":0.689 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_12_52/B", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.Ib38bJAr6oidzwGb8fb6HgAr7b[0]/C1" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_12_52/Z", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.Ib38bJAr6oidzwGb8fb6HgAr7b[0]/F1" }, "arrive":6.350, "delay":0.219 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_2/secured_signal_12_163", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_2.Ne1IDB4vm9" }, "arrive":6.722, "delay":0.372 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_12_90/D", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_2.ImmjHJuzggHxba/C1" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_12_90/Z", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_2.ImmjHJuzggHxba/OFX0" }, "arrive":7.014, "delay":0.292 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_2/secured_signal_12_165", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_2.NKvlC" }, "arrive":7.232, "delay":0.218 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_12_58/B", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.Ie0fvlc4DA.ff_inst/D1" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_12_58/Z", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.Ie0fvlc4DA.ff_inst/F1" }, "arrive":7.451, "delay":0.219 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_2/secured_signal_12_150", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_2.Ncd" }, "arrive":7.451, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":7.451, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ---------------- --------- --------------------- ------ top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_12_132/CLK->top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_12_132/Q SLICE_R43C55D REG_DEL 0.301 2.955 5 top_reveal_coretop_instance/core0/trig_u/tu_2/secured_signal_12_132 NET DELAY 0.539 3.494 5 top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_12_59/C->top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_12_59/Z SLICE_R47C56D CTOF_DEL 0.219 3.713 1 top_reveal_coretop_instance/core0/trig_u/tu_2/secured_signal_12_191 NET DELAY 0.269 3.982 1 top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_12_60/D->top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_12_60/Z SLICE_R47C56D CTOF_DEL 0.211 4.193 1 top_reveal_coretop_instance/core0/trig_u/tu_2/secured_signal_12_162 NET DELAY 0.516 4.709 1 top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_12_79/A1->top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_12_79/COUT SLICE_R45C58A C1TOFCO_DEL 0.325 5.034 1 top_reveal_coretop_instance/core0/trig_u/tu_2/secured_signal_12_112 NET DELAY 0.000 5.034 1 top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_12_78/CIN->top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_12_78/COUT SLICE_R45C58B FCITOFCO_DEL 0.055 5.089 1 top_reveal_coretop_instance/core0/trig_u/tu_2/secured_signal_12_111 NET DELAY 0.000 5.089 1 top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_12_77/CIN->top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_12_77/COUT SLICE_R45C58C FCITOFCO_DEL 0.055 5.144 1 top_reveal_coretop_instance/core0/trig_u/tu_2/secured_signal_12_110 NET DELAY 0.000 5.144 1 top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_12_76/CIN->top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_12_76/COUT SLICE_R45C58D FCITOFCO_DEL 0.055 5.199 1 top_reveal_coretop_instance/core0/trig_u/tu_2/secured_signal_12_109 NET DELAY 0.000 5.199 1 top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_12_75/CIN->top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_12_75/S1 SLICE_R45C59A FCITOF1_DEL 0.243 5.442 3 top_reveal_coretop_instance/core0/trig_u/tu_2/secured_signal_12_130 NET DELAY 0.689 6.131 3 top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_12_52/B->top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_12_52/Z SLICE_R50C55C CTOF_DEL 0.219 6.350 1 top_reveal_coretop_instance/core0/trig_u/tu_2/secured_signal_12_163 NET DELAY 0.372 6.722 1 top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_12_90/D->top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_12_90/Z SLICE_R47C55C CTOOF_DEL 0.292 7.014 1 top_reveal_coretop_instance/core0/trig_u/tu_2/secured_signal_12_165 NET DELAY 0.218 7.232 1 top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_12_58/B->top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_12_58/Z SLICE_R47C53B CTOF_DEL 0.219 7.451 1 top_reveal_coretop_instance/core0/trig_u/tu_2/secured_signal_12_150 NET DELAY 0.000 7.451 1 top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_12_95/DF ENDPOINT 0.000 7.451 1 Destination Clock Path { "path_begin": { "type":"pin", "log_name":"OSCA001.OSCA_inst/HFCLKOUT", "phy_name":"OSCA001.OSCA_inst/HFCLKOUT" }, "path_end": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_11_95/CLK", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.Ie0fvlc4DA.ff_inst/CLK" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":8.888, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_141", "phy_name":"clk150" }, "arrive":11.394, "delay":2.506 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":11.394, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ---------------- --------- --------------------- ------ CONSTRAINT 0.000 8.888 1 OSCA001.OSCA_inst/HFCLKOUT OSC_CORE_OSC_CORE_R1C137 CLOCK LATENCY 0.000 8.888 324 top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_141 NET DELAY 2.506 11.394 324 {top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_11_95/CLK top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_12_95/CLK} CLOCK PIN 0.000 11.394 1 Uncertainty -(0.000) 11.394 Common Path Skew 0.118 11.512 Setup time -(-0.058) 11.570 ---------------------------------------- ------------------------ ---------------- --------- --------------------- ------ Required Time 11.570 Arrival Time -(7.450) ---------------------------------------- ------------------------ ---------------- --------- --------------------- ------ Path Slack (Passed) 4.119 ++++ Path 9 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Path Begin : top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_474/Q (SLICE_R50C53C) Path End : {top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_13_110/CE top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_13_109/CE} (SLICE_R50C49D) Source Clock : clk150 (R) Destination Clock: clk150 (R) Logic Level : 7 Delay Ratio : 64.4% (route), 35.6% (logic) Clock Skew : -0.148 ns Setup Constraint : 8.888 ns Common Path Skew : 0.111 ns Path Slack : 4.213 ns (Passed) Source Clock Path { "path_begin": { "type":"pin", "log_name":"OSCA001.OSCA_inst/HFCLKOUT", "phy_name":"OSCA001.OSCA_inst/HFCLKOUT" }, "path_end": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_474/CLK", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.Ib4zmjgl42aqur7c/CLK" }, "path_sections":[ { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_141", "phy_name":"clk150" }, "arrive":2.654, "delay":2.654 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.654, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ---------------- -------- --------------------- ------ OSCA001.OSCA_inst/HFCLKOUT OSC_CORE_OSC_CORE_R1C137 CLOCK LATENCY 0.000 0.000 324 top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_141 NET DELAY 2.654 2.654 324 {top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_474/CLK top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_475/CLK} CLOCK PIN 0.000 2.654 1 Data Path { "path_begin": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_474/Q", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.Ib4zmjgl42aqur7c/Q0" }, "path_end": { "type":"pin", "log_name":"{top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_13_110/CE top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_13_109/CE}", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.Io0Ig9gzFcLEpp5vavF7xF2o/CE" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_474/CLK", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.Ib4zmjgl42aqur7c/CLK" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_474/Q", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.Ib4zmjgl42aqur7c/Q0" }, "arrive":2.955, "delay":0.301 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_signal_2_158", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.Ne3nFILyd5q303pD9C8l[2]" }, "arrive":3.419, "delay":0.464 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_242/B", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.Ib4zmjgl42aqur7c/C0" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_242/Z", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.Ib4zmjgl42aqur7c/F0" }, "arrive":3.638, "delay":0.219 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_signal_2_586", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.Nb4zmjgl42aqur7c" }, "arrive":3.743, "delay":0.105 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_229/C", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.IcAy31tz43m18/D1" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_229/Z", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.IcAy31tz43m18/F1" }, "arrive":3.962, "delay":0.219 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/tm_u/secured_signal_1_158", "phy_name":"top_reveal_coretop_instance.core0.wen" }, "arrive":4.229, "delay":0.267 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_15_17/B", "phy_name":"top_reveal_coretop_instance.core0.trig_u.decode_u.Ifii7B9Hs8lzeEzEerH8[2]/A1" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_15_17/Z", "phy_name":"top_reveal_coretop_instance.core0.trig_u.decode_u.Ifii7B9Hs8lzeEzEerH8[2]/F1" }, "arrive":4.440, "delay":0.211 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/decode_u/secured_signal_15_26", "phy_name":"top_reveal_coretop_instance.core0.trig_u.decode_u.Nfh7o1A" }, "arrive":4.815, "delay":0.375 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_15_5/A", "phy_name":"top_reveal_coretop_instance.core0.trig_u.decode_u.Ifii7B9HtdLGHq8BId7c[0]/B1" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_15_5/Z", "phy_name":"top_reveal_coretop_instance.core0.trig_u.decode_u.Ifii7B9HtdLGHq8BId7c[0]/F1" }, "arrive":5.026, "delay":0.211 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/decode_u/secured_signal_15_27", "phy_name":"top_reveal_coretop_instance.core0.trig_u.decode_u.Nfh7o1D" }, "arrive":5.623, "delay":0.597 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_15_4/A", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_1.Ipfam7xdGoKjHfkmkJtvyczgttK7nLwCm7/B1" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_15_4/Z", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_1.Ipfam7xdGoKjHfkmkJtvyczgttK7nLwCm7/F1" }, "arrive":5.834, "delay":0.211 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_1/secured_signal_13_56", "phy_name":"top_reveal_coretop_instance.core0.trig_u.wen_tu[1]" }, "arrive":6.097, "delay":0.263 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_13_5/C", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_1.IfmqpHfyLjihmE893lg93s3sdHIgm7/B0" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_13_5/Z", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_1.IfmqpHfyLjihmE893lg93s3sdHIgm7/F0" }, "arrive":6.308, "delay":0.211 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_1/secured_signal_13_149", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_1.NfmqpHfyLjihmE893lg93s3sdHIgm7" }, "arrive":7.103, "delay":0.795 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":7.103, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ---------------- -------- --------------------- ------ top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_474/CLK->top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_474/Q SLICE_R50C53C REG_DEL 0.301 2.955 5 top_reveal_coretop_instance/core0/jtag_int_u/secured_signal_2_158 NET DELAY 0.464 3.419 5 top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_242/B->top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_242/Z SLICE_R50C53C CTOF_DEL 0.219 3.638 1 top_reveal_coretop_instance/core0/jtag_int_u/secured_signal_2_586 NET DELAY 0.105 3.743 1 top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_229/C->top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_229/Z SLICE_R50C53A CTOF_DEL 0.219 3.962 5 top_reveal_coretop_instance/core0/tm_u/secured_signal_1_158 NET DELAY 0.267 4.229 5 top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_15_17/B->top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_15_17/Z SLICE_R48C53A CTOF_DEL 0.211 4.440 3 top_reveal_coretop_instance/core0/trig_u/decode_u/secured_signal_15_26 NET DELAY 0.375 4.815 3 top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_15_5/A->top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_15_5/Z SLICE_R49C52A CTOF_DEL 0.211 5.026 4 top_reveal_coretop_instance/core0/trig_u/decode_u/secured_signal_15_27 NET DELAY 0.597 5.623 4 top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_15_4/A->top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_15_4/Z SLICE_R50C52C CTOF_DEL 0.211 5.834 3 top_reveal_coretop_instance/core0/trig_u/tu_1/secured_signal_13_56 NET DELAY 0.263 6.097 3 top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_13_5/C->top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_13_5/Z SLICE_R51C52C CTOF_DEL 0.211 6.308 8 top_reveal_coretop_instance/core0/trig_u/tu_1/secured_signal_13_149 NET DELAY 0.795 7.103 8 {top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_13_110/CE top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_13_109/CE} ENDPOINT 0.000 7.103 1 Destination Clock Path { "path_begin": { "type":"pin", "log_name":"OSCA001.OSCA_inst/HFCLKOUT", "phy_name":"OSCA001.OSCA_inst/HFCLKOUT" }, "path_end": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_13_110/CLK", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.Io0Ig9gzFcLEpp5vavF7xF2o/CLK" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":8.888, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_141", "phy_name":"clk150" }, "arrive":11.394, "delay":2.506 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":11.394, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ---------------- -------- --------------------- ------ CONSTRAINT 0.000 8.888 1 OSCA001.OSCA_inst/HFCLKOUT OSC_CORE_OSC_CORE_R1C137 CLOCK LATENCY 0.000 8.888 324 top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_141 NET DELAY 2.506 11.394 324 {top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_13_110/CLK top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_13_109/CLK} CLOCK PIN 0.000 11.394 1 Uncertainty -(0.000) 11.394 Common Path Skew 0.111 11.505 Setup time -(0.189) 11.316 ---------------------------------------- ------------------------ ---------------- -------- --------------------- ------ Required Time 11.316 Arrival Time -(7.102) ---------------------------------------- ------------------------ ---------------- -------- --------------------- ------ Path Slack (Passed) 4.213 ++++ Path 10 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Path Begin : top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_13_141/Q (SLICE_R48C51C) Path End : top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_13_95/DF (SLICE_R48C51D) Source Clock : clk150 (R) Destination Clock: clk150 (R) Logic Level : 8 Delay Ratio : 56.5% (route), 43.5% (logic) Clock Skew : -0.148 ns Setup Constraint : 8.888 ns Common Path Skew : 0.147 ns Path Slack : 4.213 ns (Passed) Source Clock Path { "path_begin": { "type":"pin", "log_name":"OSCA001.OSCA_inst/HFCLKOUT", "phy_name":"OSCA001.OSCA_inst/HFCLKOUT" }, "path_end": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_13_141/CLK", "phy_name":"top_reveal_coretop_instance.core0.trig_u.te_1.IpJculoeGwn6uDEK0gF4F9Cx/CLK" }, "path_sections":[ { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_141", "phy_name":"clk150" }, "arrive":2.654, "delay":2.654 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.654, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ---------------- --------- --------------------- ------ OSCA001.OSCA_inst/HFCLKOUT OSC_CORE_OSC_CORE_R1C137 CLOCK LATENCY 0.000 0.000 324 top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_141 NET DELAY 2.654 2.654 324 {top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_13_141/CLK top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_13_143/CLK} CLOCK PIN 0.000 2.654 1 Data Path { "path_begin": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_13_141/Q", "phy_name":"top_reveal_coretop_instance.core0.trig_u.te_1.IpJculoeGwn6uDEK0gF4F9Cx/Q0" }, "path_end": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_13_95/DF", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_1.Ie0fvlc4DA.ff_inst/DI0" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_13_141/CLK", "phy_name":"top_reveal_coretop_instance.core0.trig_u.te_1.IpJculoeGwn6uDEK0gF4F9Cx/CLK" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_13_141/Q", "phy_name":"top_reveal_coretop_instance.core0.trig_u.te_1.IpJculoeGwn6uDEK0gF4F9Cx/Q0" }, "arrive":2.955, "delay":0.301 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_1/secured_signal_13_141", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_1.NoxeB5huJp20Cq" }, "arrive":3.292, "delay":0.337 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_13_63/C", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_1.IdqzHCkaae4atgf2l52t8pkK8cweAz77b/D1" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_13_63/Z", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_1.IdqzHCkaae4atgf2l52t8pkK8cweAz77b/F1" }, "arrive":3.503, "delay":0.211 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_1/secured_signal_13_193", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_1.Nbd0hawCr1LhyyCr" }, "arrive":4.362, "delay":0.859 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_13_64/A", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_1.IdqzHCkaae4atgf2l52t8pkK8cweAz77b/A0" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_13_64/Z", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_1.IdqzHCkaae4atgf2l52t8pkK8cweAz77b/F0" }, "arrive":4.573, "delay":0.211 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_1/secured_signal_13_169", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_1.NdcByEhIsj" }, "arrive":5.130, "delay":0.557 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_13_76/A0", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_1.IqdwgKi79qkEwzIGgJp0z77a/A0" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_13_76/COUT", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_1.IqdwgKi79qkEwzIGgJp0z77a/FCO" }, "arrive":5.455, "delay":0.325 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_1/secured_signal_13_109", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_1.NjzhBHr2GnijAwxcxurFentaE3a[6]" }, "arrive":5.455, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_13_75/CIN", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_1.IqdwgKi79qkEwzIGgJpAaDba/FCI" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_13_75/S1", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_1.IqdwgKi79qkEwzIGgJpAaDba/F1" }, "arrive":5.743, "delay":0.288 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_1/secured_signal_13_130", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_1.NbcCj0hnGbwlAcxGFJcDfs1p4kmCr" }, "arrive":6.246, "delay":0.503 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_13_52/B", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_1.Ipfam7xdGoKjHfkmkJtvyczIdgq1CCkF2i[2]/C1" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_13_52/Z", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_1.Ipfam7xdGoKjHfkmkJtvyczIdgq1CCkF2i[2]/F1" }, "arrive":6.465, "delay":0.219 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_1/secured_signal_13_163", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_1.Ne1IDB4vm9" }, "arrive":6.625, "delay":0.160 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_13_90/D", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_1.ImmjHJuzggHxba/A1" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_13_90/Z", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_1.ImmjHJuzggHxba/OFX0" }, "arrive":6.912, "delay":0.287 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_1/secured_signal_13_165", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_1.NKvlC" }, "arrive":7.168, "delay":0.256 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_13_58/B", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_1.Ie0fvlc4DA.ff_inst/C0" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_13_58/Z", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_1.Ie0fvlc4DA.ff_inst/F0" }, "arrive":7.387, "delay":0.219 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_1/secured_signal_13_150", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_1.Ncd" }, "arrive":7.387, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":7.387, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ---------------- --------- --------------------- ------ top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_13_141/CLK->top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_13_141/Q SLICE_R48C51C REG_DEL 0.301 2.955 5 top_reveal_coretop_instance/core0/trig_u/tu_1/secured_signal_13_141 NET DELAY 0.337 3.292 5 top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_13_63/C->top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_13_63/Z SLICE_R49C49D CTOF_DEL 0.211 3.503 1 top_reveal_coretop_instance/core0/trig_u/tu_1/secured_signal_13_193 NET DELAY 0.859 4.362 1 top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_13_64/A->top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_13_64/Z SLICE_R49C49D CTOF_DEL 0.211 4.573 1 top_reveal_coretop_instance/core0/trig_u/tu_1/secured_signal_13_169 NET DELAY 0.557 5.130 1 top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_13_76/A0->top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_13_76/COUT SLICE_R45C48D C0TOFCO_DEL 0.325 5.455 1 top_reveal_coretop_instance/core0/trig_u/tu_1/secured_signal_13_109 NET DELAY 0.000 5.455 1 top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_13_75/CIN->top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_13_75/S1 SLICE_R45C49A FCITOF1_DEL 0.288 5.743 3 top_reveal_coretop_instance/core0/trig_u/tu_1/secured_signal_13_130 NET DELAY 0.503 6.246 3 top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_13_52/B->top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_13_52/Z SLICE_R48C50D CTOF_DEL 0.219 6.465 1 top_reveal_coretop_instance/core0/trig_u/tu_1/secured_signal_13_163 NET DELAY 0.160 6.625 1 top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_13_90/D->top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_13_90/Z SLICE_R48C50C CTOOF_DEL 0.287 6.912 1 top_reveal_coretop_instance/core0/trig_u/tu_1/secured_signal_13_165 NET DELAY 0.256 7.168 1 top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_13_58/B->top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_13_58/Z SLICE_R48C51D CTOF_DEL 0.219 7.387 1 top_reveal_coretop_instance/core0/trig_u/tu_1/secured_signal_13_150 NET DELAY 0.000 7.387 1 top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_13_95/DF ENDPOINT 0.000 7.387 1 Destination Clock Path { "path_begin": { "type":"pin", "log_name":"OSCA001.OSCA_inst/HFCLKOUT", "phy_name":"OSCA001.OSCA_inst/HFCLKOUT" }, "path_end": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_13_95/CLK", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_1.Ie0fvlc4DA.ff_inst/CLK" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":8.888, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_141", "phy_name":"clk150" }, "arrive":11.394, "delay":2.506 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":11.394, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ---------------- --------- --------------------- ------ CONSTRAINT 0.000 8.888 1 OSCA001.OSCA_inst/HFCLKOUT OSC_CORE_OSC_CORE_R1C137 CLOCK LATENCY 0.000 8.888 324 top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_141 NET DELAY 2.506 11.394 324 {top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_13_95/CLK top_reveal_coretop_instance/core0/trig_u/tu_0/secured_instance_14_18/CLK} CLOCK PIN 0.000 11.394 1 Uncertainty -(0.000) 11.394 Common Path Skew 0.147 11.541 Setup time -(-0.059) 11.600 ---------------------------------------- ------------------------ ---------------- --------- --------------------- ------ Required Time 11.600 Arrival Time -(7.386) ---------------------------------------- ------------------------ ---------------- --------- --------------------- ------ Path Slack (Passed) 4.213 +++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ End of Detailed Report for timing paths +++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ ########################################################## 4 Hold at Speed Grade m Corner at -40 Degrees 4.1 Endpoint slacks ------------------------------------------------------- Listing 10 End Points | Slack ------------------------------------------------------- top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_10_117/DF | 0.164 ns top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_11_124/DF | 0.164 ns top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_10_116/DF | 0.166 ns top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_10_119/DF | 0.166 ns top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_13_116/DF | 0.166 ns top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_11_129/DF | 0.167 ns top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_10_129/DF | 0.167 ns top_reveal_coretop_instance/core0/trig_u/tu_0/secured_instance_14_23/DF | 0.167 ns top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_491/DF | 0.167 ns top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_10_118/DF | 0.168 ns ------------------------------------------------------- | Hold # of endpoints with negative slack: | 0 | ------------------------------------------------------- 4.2 Detailed Report XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX Detail report of critical paths XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX +++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Detailed Report for timing paths +++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ ++++Path 1 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Path Begin : top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_10_133/Q (SLICE_R49C60A) Path End : top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_10_117/DF (SLICE_R50C60C) Source Clock : clk150 (R) Destination Clock: clk150 (R) Logic Level : 1 Delay Ratio : 36.5% (route), 63.5% (logic) Clock Skew : 0.113 ns Hold Constraint : 0.000 ns Common Path Skew : -0.100 ns Path Slack : 0.164 ns (Passed) Source Clock Path { "path_begin": { "type":"pin", "log_name":"OSCA001.OSCA_inst/HFCLKOUT", "phy_name":"OSCA001.OSCA_inst/HFCLKOUT" }, "path_end": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_10_132/CLK", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_4.IeJDtew0zBltkd7wB01C/CLK" }, "path_sections":[ { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_141", "phy_name":"clk150" }, "arrive":1.808, "delay":1.808 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":1.808, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ---------------- ------ --------------------- ------ OSCA001.OSCA_inst/HFCLKOUT OSC_CORE_OSC_CORE_R1C137 CLOCK LATENCY 0.000 0.000 325 top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_141 NET DELAY 1.808 1.808 325 {top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_10_132/CLK top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_10_133/CLK} CLOCK PIN 0.000 1.808 1 Data Path { "path_begin": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_10_133/Q", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_4.IeJDtew0zBltkd7wB01C/Q1" }, "path_end": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_10_117/DF", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_4.Ix4LFxrk0hFsajtbF9BlC/M0" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_10_133/CLK", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_4.IeJDtew0zBltkd7wB01C/CLK" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_10_133/Q", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_4.IeJDtew0zBltkd7wB01C/Q1" }, "arrive":1.982, "delay":0.174 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_4/secured_signal_10_133", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_4.Nc8pHcEsIxIrc" }, "arrive":2.082, "delay":0.100 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.082, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ---------------- ------ --------------------- ------ top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_10_133/CLK->top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_10_133/Q SLICE_R49C60A REG_DEL 0.174 1.982 5 top_reveal_coretop_instance/core0/trig_u/tu_4/secured_signal_10_133 NET DELAY 0.100 2.082 5 top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_10_117/DF ENDPOINT 0.000 2.082 1 Destination Clock Path { "path_begin": { "type":"pin", "log_name":"OSCA001.OSCA_inst/HFCLKOUT", "phy_name":"OSCA001.OSCA_inst/HFCLKOUT" }, "path_end": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_10_117/CLK", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_4.Ix4LFxrk0hFsajtbF9BlC/CLK" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":0.000, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_141", "phy_name":"clk150" }, "arrive":1.921, "delay":1.921 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":1.921, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ---------------- ------ --------------------- ------ CONSTRAINT 0.000 0.000 1 OSCA001.OSCA_inst/HFCLKOUT OSC_CORE_OSC_CORE_R1C137 CLOCK LATENCY 0.000 0.000 325 top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_141 NET DELAY 1.921 1.921 325 {top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_10_117/CLK top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_10_118/CLK} CLOCK PIN 0.000 1.921 1 Uncertainty 0.000 1.921 Common Path Skew -0.100 1.821 Hold time 0.097 1.918 ---------------------------------------- ------------------------ ---------------- ------ --------------------- ------ Required Time -1.918 Arrival Time 2.082 ---------------------------------------- ------------------------ ---------------- ------ --------------------- ------ Path Slack (Passed) 0.164 ++++ Path 2 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Path Begin : top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_11_140/Q (SLICE_R54C56C) Path End : top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_11_124/DF (SLICE_R54C56A) Source Clock : clk150 (R) Destination Clock: clk150 (R) Logic Level : 1 Delay Ratio : 31.5% (route), 68.5% (logic) Clock Skew : 0.113 ns Hold Constraint : 0.000 ns Common Path Skew : -0.111 ns Path Slack : 0.164 ns (Passed) Source Clock Path { "path_begin": { "type":"pin", "log_name":"OSCA001.OSCA_inst/HFCLKOUT", "phy_name":"OSCA001.OSCA_inst/HFCLKOUT" }, "path_end": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_11_140/CLK", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.Ix4LFxrk0hFsajtbF9Bl9/CLK" }, "path_sections":[ { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_141", "phy_name":"clk150" }, "arrive":1.808, "delay":1.808 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":1.808, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ---------------- ------ --------------------- ------ OSCA001.OSCA_inst/HFCLKOUT OSC_CORE_OSC_CORE_R1C137 CLOCK LATENCY 0.000 0.000 325 top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_141 NET DELAY 1.808 1.808 325 top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_11_140/CLK CLOCK PIN 0.000 1.808 1 Data Path { "path_begin": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_11_140/Q", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.Ix4LFxrk0hFsajtbF9Bl9/Q0" }, "path_end": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_11_124/DF", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.Ida5hzuEFCs00yEov7kghIB[8]/M1" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_11_140/CLK", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.Ix4LFxrk0hFsajtbF9Bl9/CLK" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_11_140/Q", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.Ix4LFxrk0hFsajtbF9Bl9/Q0" }, "arrive":1.986, "delay":0.178 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_signal_11_140", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.Nc8pHcEsIxIrj" }, "arrive":2.068, "delay":0.082 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.068, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ---------------- ------ --------------------- ------ top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_11_140/CLK->top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_11_140/Q SLICE_R54C56C REG_DEL 0.178 1.986 5 top_reveal_coretop_instance/core0/trig_u/tu_3/secured_signal_11_140 NET DELAY 0.082 2.068 5 top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_11_124/DF ENDPOINT 0.000 2.068 1 Destination Clock Path { "path_begin": { "type":"pin", "log_name":"OSCA001.OSCA_inst/HFCLKOUT", "phy_name":"OSCA001.OSCA_inst/HFCLKOUT" }, "path_end": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_11_123/CLK", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.Ida5hzuEFCs00yEov7kghIB[8]/CLK" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":0.000, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_141", "phy_name":"clk150" }, "arrive":1.921, "delay":1.921 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":1.921, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ---------------- ------ --------------------- ------ CONSTRAINT 0.000 0.000 1 OSCA001.OSCA_inst/HFCLKOUT OSC_CORE_OSC_CORE_R1C137 CLOCK LATENCY 0.000 0.000 325 top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_141 NET DELAY 1.921 1.921 325 {top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_11_123/CLK top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_11_124/CLK} CLOCK PIN 0.000 1.921 1 Uncertainty 0.000 1.921 Common Path Skew -0.111 1.810 Hold time 0.094 1.904 ---------------------------------------- ------------------------ ---------------- ------ --------------------- ------ Required Time -1.904 Arrival Time 2.068 ---------------------------------------- ------------------------ ---------------- ------ --------------------- ------ Path Slack (Passed) 0.164 ++++ Path 3 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Path Begin : top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_10_132/Q (SLICE_R49C60A) Path End : top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_10_116/DF (SLICE_R48C60C) Source Clock : clk150 (R) Destination Clock: clk150 (R) Logic Level : 1 Delay Ratio : 37.3% (route), 62.7% (logic) Clock Skew : 0.113 ns Hold Constraint : 0.000 ns Common Path Skew : -0.100 ns Path Slack : 0.166 ns (Passed) Source Clock Path { "path_begin": { "type":"pin", "log_name":"OSCA001.OSCA_inst/HFCLKOUT", "phy_name":"OSCA001.OSCA_inst/HFCLKOUT" }, "path_end": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_10_132/CLK", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_4.IeJDtew0zBltkd7wB01C/CLK" }, "path_sections":[ { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_141", "phy_name":"clk150" }, "arrive":1.808, "delay":1.808 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":1.808, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ---------------- ------ --------------------- ------ OSCA001.OSCA_inst/HFCLKOUT OSC_CORE_OSC_CORE_R1C137 CLOCK LATENCY 0.000 0.000 325 top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_141 NET DELAY 1.808 1.808 325 {top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_10_132/CLK top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_10_133/CLK} CLOCK PIN 0.000 1.808 1 Data Path { "path_begin": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_10_132/Q", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_4.IeJDtew0zBltkd7wB01C/Q0" }, "path_end": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_10_116/DF", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_4.I4eFmtyi6vhpEAwtGAB8zI4AcKlEzbd[0]/M1" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_10_132/CLK", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_4.IeJDtew0zBltkd7wB01C/CLK" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_10_132/Q", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_4.IeJDtew0zBltkd7wB01C/Q0" }, "arrive":1.981, "delay":0.173 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_4/secured_signal_10_132", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_4.Nc8pHcEsIxIrb" }, "arrive":2.084, "delay":0.103 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.084, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ---------------- ------ --------------------- ------ top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_10_132/CLK->top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_10_132/Q SLICE_R49C60A REG_DEL 0.173 1.981 5 top_reveal_coretop_instance/core0/trig_u/tu_4/secured_signal_10_132 NET DELAY 0.103 2.084 5 top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_10_116/DF ENDPOINT 0.000 2.084 1 Destination Clock Path { "path_begin": { "type":"pin", "log_name":"OSCA001.OSCA_inst/HFCLKOUT", "phy_name":"OSCA001.OSCA_inst/HFCLKOUT" }, "path_end": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_10_115/CLK", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_4.I4eFmtyi6vhpEAwtGAB8zI4AcKlEzbd[0]/CLK" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":0.000, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_141", "phy_name":"clk150" }, "arrive":1.921, "delay":1.921 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":1.921, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ---------------- ------ --------------------- ------ CONSTRAINT 0.000 0.000 1 OSCA001.OSCA_inst/HFCLKOUT OSC_CORE_OSC_CORE_R1C137 CLOCK LATENCY 0.000 0.000 325 top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_141 NET DELAY 1.921 1.921 325 {top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_10_115/CLK top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_10_116/CLK} CLOCK PIN 0.000 1.921 1 Uncertainty 0.000 1.921 Common Path Skew -0.100 1.821 Hold time 0.097 1.918 ---------------------------------------- ------------------------ ---------------- ------ --------------------- ------ Required Time -1.918 Arrival Time 2.084 ---------------------------------------- ------------------------ ---------------- ------ --------------------- ------ Path Slack (Passed) 0.166 ++++ Path 4 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Path Begin : top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_10_135/Q (SLICE_R50C58D) Path End : top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_10_119/DF (SLICE_R49C58B) Source Clock : clk150 (R) Destination Clock: clk150 (R) Logic Level : 1 Delay Ratio : 37.3% (route), 62.7% (logic) Clock Skew : 0.113 ns Hold Constraint : 0.000 ns Common Path Skew : -0.100 ns Path Slack : 0.166 ns (Passed) Source Clock Path { "path_begin": { "type":"pin", "log_name":"OSCA001.OSCA_inst/HFCLKOUT", "phy_name":"OSCA001.OSCA_inst/HFCLKOUT" }, "path_end": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_10_135/CLK", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_4.I0usfDEnzw2Cv98zyn97c/CLK" }, "path_sections":[ { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_141", "phy_name":"clk150" }, "arrive":1.808, "delay":1.808 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":1.808, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ---------------- ------ --------------------- ------ OSCA001.OSCA_inst/HFCLKOUT OSC_CORE_OSC_CORE_R1C137 CLOCK LATENCY 0.000 0.000 325 top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_141 NET DELAY 1.808 1.808 325 top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_10_135/CLK CLOCK PIN 0.000 1.808 1 Data Path { "path_begin": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_10_135/Q", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_4.I0usfDEnzw2Cv98zyn97c/Q0" }, "path_end": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_10_119/DF", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_4.IeJDtew0zBltkd7wB017/M0" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_10_135/CLK", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_4.I0usfDEnzw2Cv98zyn97c/CLK" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_10_135/Q", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_4.I0usfDEnzw2Cv98zyn97c/Q0" }, "arrive":1.981, "delay":0.173 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_4/secured_signal_10_135", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_4.Nc8pHcEsIxIre" }, "arrive":2.084, "delay":0.103 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.084, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ---------------- ------ --------------------- ------ top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_10_135/CLK->top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_10_135/Q SLICE_R50C58D REG_DEL 0.173 1.981 5 top_reveal_coretop_instance/core0/trig_u/tu_4/secured_signal_10_135 NET DELAY 0.103 2.084 5 top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_10_119/DF ENDPOINT 0.000 2.084 1 Destination Clock Path { "path_begin": { "type":"pin", "log_name":"OSCA001.OSCA_inst/HFCLKOUT", "phy_name":"OSCA001.OSCA_inst/HFCLKOUT" }, "path_end": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_10_119/CLK", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_4.IeJDtew0zBltkd7wB017/CLK" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":0.000, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_141", "phy_name":"clk150" }, "arrive":1.921, "delay":1.921 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":1.921, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ---------------- ------ --------------------- ------ CONSTRAINT 0.000 0.000 1 OSCA001.OSCA_inst/HFCLKOUT OSC_CORE_OSC_CORE_R1C137 CLOCK LATENCY 0.000 0.000 325 top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_141 NET DELAY 1.921 1.921 325 {top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_10_119/CLK top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_10_120/CLK} CLOCK PIN 0.000 1.921 1 Uncertainty 0.000 1.921 Common Path Skew -0.100 1.821 Hold time 0.097 1.918 ---------------------------------------- ------------------------ ---------------- ------ --------------------- ------ Required Time -1.918 Arrival Time 2.084 ---------------------------------------- ------------------------ ---------------- ------ --------------------- ------ Path Slack (Passed) 0.166 ++++ Path 5 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Path Begin : top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_13_132/Q (SLICE_R47C47C) Path End : top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_13_116/DF (SLICE_R47C47D) Source Clock : clk150 (R) Destination Clock: clk150 (R) Logic Level : 1 Delay Ratio : 31.5% (route), 68.5% (logic) Clock Skew : 0.113 ns Hold Constraint : 0.000 ns Common Path Skew : -0.113 ns Path Slack : 0.166 ns (Passed) Source Clock Path { "path_begin": { "type":"pin", "log_name":"OSCA001.OSCA_inst/HFCLKOUT", "phy_name":"OSCA001.OSCA_inst/HFCLKOUT" }, "path_end": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_13_132/CLK", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_1.Ix4LFxrk0hFsajtbF9BlC/CLK" }, "path_sections":[ { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_141", "phy_name":"clk150" }, "arrive":1.808, "delay":1.808 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":1.808, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ---------------- ------ --------------------- ------ OSCA001.OSCA_inst/HFCLKOUT OSC_CORE_OSC_CORE_R1C137 CLOCK LATENCY 0.000 0.000 325 top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_141 NET DELAY 1.808 1.808 325 {top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_13_132/CLK top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_13_133/CLK} CLOCK PIN 0.000 1.808 1 Data Path { "path_begin": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_13_132/Q", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_1.Ix4LFxrk0hFsajtbF9BlC/Q0" }, "path_end": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_13_116/DF", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_1.IeJDtew0zBltkd7wB01C/M1" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_13_132/CLK", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_1.Ix4LFxrk0hFsajtbF9BlC/CLK" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_13_132/Q", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_1.Ix4LFxrk0hFsajtbF9BlC/Q0" }, "arrive":1.986, "delay":0.178 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_1/secured_signal_13_132", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_1.Nc8pHcEsIxIrb" }, "arrive":2.068, "delay":0.082 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.068, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ---------------- ------ --------------------- ------ top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_13_132/CLK->top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_13_132/Q SLICE_R47C47C REG_DEL 0.178 1.986 5 top_reveal_coretop_instance/core0/trig_u/tu_1/secured_signal_13_132 NET DELAY 0.082 2.068 5 top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_13_116/DF ENDPOINT 0.000 2.068 1 Destination Clock Path { "path_begin": { "type":"pin", "log_name":"OSCA001.OSCA_inst/HFCLKOUT", "phy_name":"OSCA001.OSCA_inst/HFCLKOUT" }, "path_end": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_13_115/CLK", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_1.IeJDtew0zBltkd7wB01C/CLK" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":0.000, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_141", "phy_name":"clk150" }, "arrive":1.921, "delay":1.921 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":1.921, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ---------------- ------ --------------------- ------ CONSTRAINT 0.000 0.000 1 OSCA001.OSCA_inst/HFCLKOUT OSC_CORE_OSC_CORE_R1C137 CLOCK LATENCY 0.000 0.000 325 top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_141 NET DELAY 1.921 1.921 325 {top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_13_115/CLK top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_13_116/CLK} CLOCK PIN 0.000 1.921 1 Uncertainty 0.000 1.921 Common Path Skew -0.113 1.808 Hold time 0.094 1.902 ---------------------------------------- ------------------------ ---------------- ------ --------------------- ------ Required Time -1.902 Arrival Time 2.068 ---------------------------------------- ------------------------ ---------------- ------ --------------------- ------ Path Slack (Passed) 0.166 ++++ Path 6 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Path Begin : top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_11_145/Q (SLICE_R54C55A) Path End : top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_11_129/DF (SLICE_R54C55B) Source Clock : clk150 (R) Destination Clock: clk150 (R) Logic Level : 1 Delay Ratio : 31.4% (route), 68.6% (logic) Clock Skew : 0.113 ns Hold Constraint : 0.000 ns Common Path Skew : -0.113 ns Path Slack : 0.167 ns (Passed) Source Clock Path { "path_begin": { "type":"pin", "log_name":"OSCA001.OSCA_inst/HFCLKOUT", "phy_name":"OSCA001.OSCA_inst/HFCLKOUT" }, "path_end": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_11_134/CLK", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.Ix4LFxrk0hFsajtbF9BlD/CLK" }, "path_sections":[ { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_141", "phy_name":"clk150" }, "arrive":1.808, "delay":1.808 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":1.808, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ---------------- ------ --------------------- ------ OSCA001.OSCA_inst/HFCLKOUT OSC_CORE_OSC_CORE_R1C137 CLOCK LATENCY 0.000 0.000 325 top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_141 NET DELAY 1.808 1.808 325 {top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_11_134/CLK top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_11_145/CLK} CLOCK PIN 0.000 1.808 1 Data Path { "path_begin": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_11_145/Q", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.Ix4LFxrk0hFsajtbF9BlD/Q1" }, "path_end": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_11_129/DF", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.IeJDtew0zBltkd7wB01D/M0" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_11_145/CLK", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.Ix4LFxrk0hFsajtbF9BlD/CLK" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_11_145/Q", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.Ix4LFxrk0hFsajtbF9BlD/Q1" }, "arrive":1.987, "delay":0.179 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_signal_11_145", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.NoxeB5huJp20Cu" }, "arrive":2.069, "delay":0.082 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.069, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ---------------- ------ --------------------- ------ top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_11_145/CLK->top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_11_145/Q SLICE_R54C55A REG_DEL 0.179 1.987 5 top_reveal_coretop_instance/core0/trig_u/tu_3/secured_signal_11_145 NET DELAY 0.082 2.069 5 top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_11_129/DF ENDPOINT 0.000 2.069 1 Destination Clock Path { "path_begin": { "type":"pin", "log_name":"OSCA001.OSCA_inst/HFCLKOUT", "phy_name":"OSCA001.OSCA_inst/HFCLKOUT" }, "path_end": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_11_129/CLK", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.IeJDtew0zBltkd7wB01D/CLK" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":0.000, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_141", "phy_name":"clk150" }, "arrive":1.921, "delay":1.921 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":1.921, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ---------------- ------ --------------------- ------ CONSTRAINT 0.000 0.000 1 OSCA001.OSCA_inst/HFCLKOUT OSC_CORE_OSC_CORE_R1C137 CLOCK LATENCY 0.000 0.000 325 top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_141 NET DELAY 1.921 1.921 325 {top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_11_129/CLK top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_11_130/CLK} CLOCK PIN 0.000 1.921 1 Uncertainty 0.000 1.921 Common Path Skew -0.113 1.808 Hold time 0.094 1.902 ---------------------------------------- ------------------------ ---------------- ------ --------------------- ------ Required Time -1.902 Arrival Time 2.069 ---------------------------------------- ------------------------ ---------------- ------ --------------------- ------ Path Slack (Passed) 0.167 ++++ Path 7 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Path Begin : top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_10_145/Q (SLICE_R50C60B) Path End : top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_10_129/DF (SLICE_R50C60A) Source Clock : clk150 (R) Destination Clock: clk150 (R) Logic Level : 1 Delay Ratio : 31.4% (route), 68.6% (logic) Clock Skew : 0.113 ns Hold Constraint : 0.000 ns Common Path Skew : -0.113 ns Path Slack : 0.167 ns (Passed) Source Clock Path { "path_begin": { "type":"pin", "log_name":"OSCA001.OSCA_inst/HFCLKOUT", "phy_name":"OSCA001.OSCA_inst/HFCLKOUT" }, "path_end": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_10_134/CLK", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_4.Ix4LFxrk0hFsajtbF9BlD/CLK" }, "path_sections":[ { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_141", "phy_name":"clk150" }, "arrive":1.808, "delay":1.808 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":1.808, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ---------------- ------ --------------------- ------ OSCA001.OSCA_inst/HFCLKOUT OSC_CORE_OSC_CORE_R1C137 CLOCK LATENCY 0.000 0.000 325 top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_141 NET DELAY 1.808 1.808 325 {top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_10_134/CLK top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_10_145/CLK} CLOCK PIN 0.000 1.808 1 Data Path { "path_begin": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_10_145/Q", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_4.Ix4LFxrk0hFsajtbF9BlD/Q1" }, "path_end": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_10_129/DF", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_4.IeJDtew0zBltkd7wB01D/M0" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_10_145/CLK", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_4.Ix4LFxrk0hFsajtbF9BlD/CLK" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_10_145/Q", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_4.Ix4LFxrk0hFsajtbF9BlD/Q1" }, "arrive":1.987, "delay":0.179 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_4/secured_signal_10_145", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_4.NoxeB5huJp20Cu" }, "arrive":2.069, "delay":0.082 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.069, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ---------------- ------ --------------------- ------ top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_10_145/CLK->top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_10_145/Q SLICE_R50C60B REG_DEL 0.179 1.987 5 top_reveal_coretop_instance/core0/trig_u/tu_4/secured_signal_10_145 NET DELAY 0.082 2.069 5 top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_10_129/DF ENDPOINT 0.000 2.069 1 Destination Clock Path { "path_begin": { "type":"pin", "log_name":"OSCA001.OSCA_inst/HFCLKOUT", "phy_name":"OSCA001.OSCA_inst/HFCLKOUT" }, "path_end": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_10_129/CLK", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_4.IeJDtew0zBltkd7wB01D/CLK" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":0.000, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_141", "phy_name":"clk150" }, "arrive":1.921, "delay":1.921 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":1.921, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ---------------- ------ --------------------- ------ CONSTRAINT 0.000 0.000 1 OSCA001.OSCA_inst/HFCLKOUT OSC_CORE_OSC_CORE_R1C137 CLOCK LATENCY 0.000 0.000 325 top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_141 NET DELAY 1.921 1.921 325 {top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_10_129/CLK top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_10_130/CLK} CLOCK PIN 0.000 1.921 1 Uncertainty 0.000 1.921 Common Path Skew -0.113 1.808 Hold time 0.094 1.902 ---------------------------------------- ------------------------ ---------------- ------ --------------------- ------ Required Time -1.902 Arrival Time 2.069 ---------------------------------------- ------------------------ ---------------- ------ --------------------- ------ Path Slack (Passed) 0.167 ++++ Path 8 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Path Begin : top_reveal_coretop_instance/core0/trig_u/tu_0/secured_instance_14_24/Q (SLICE_R43C53B) Path End : top_reveal_coretop_instance/core0/trig_u/tu_0/secured_instance_14_23/DF (SLICE_R43C53B) Source Clock : clk150 (R) Destination Clock: clk150 (R) Logic Level : 1 Delay Ratio : 31.4% (route), 68.6% (logic) Clock Skew : 0.113 ns Hold Constraint : 0.000 ns Common Path Skew : -0.113 ns Path Slack : 0.167 ns (Passed) Source Clock Path { "path_begin": { "type":"pin", "log_name":"OSCA001.OSCA_inst/HFCLKOUT", "phy_name":"OSCA001.OSCA_inst/HFCLKOUT" }, "path_end": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_0/secured_instance_14_23/CLK", "phy_name":"top_reveal_coretop_instance.core0.trig_u.te_2.IbcrILqr0le2ClDbbLAkG1If05zba/CLK" }, "path_sections":[ { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_141", "phy_name":"clk150" }, "arrive":1.808, "delay":1.808 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":1.808, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ---------------- ------ --------------------- ------ OSCA001.OSCA_inst/HFCLKOUT OSC_CORE_OSC_CORE_R1C137 CLOCK LATENCY 0.000 0.000 325 top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_141 NET DELAY 1.808 1.808 325 {top_reveal_coretop_instance/core0/trig_u/tu_0/secured_instance_14_23/CLK top_reveal_coretop_instance/core0/trig_u/tu_0/secured_instance_14_24/CLK} CLOCK PIN 0.000 1.808 1 Data Path { "path_begin": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_0/secured_instance_14_24/Q", "phy_name":"top_reveal_coretop_instance.core0.trig_u.te_2.IbcrILqr0le2ClDbbLAkG1If05zba/Q1" }, "path_end": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_0/secured_instance_14_23/DF", "phy_name":"top_reveal_coretop_instance.core0.trig_u.te_2.IbcrILqr0le2ClDbbLAkG1If05zba/M0" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_0/secured_instance_14_24/CLK", "phy_name":"top_reveal_coretop_instance.core0.trig_u.te_2.IbcrILqr0le2ClDbbLAkG1If05zba/CLK" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_0/secured_instance_14_24/Q", "phy_name":"top_reveal_coretop_instance.core0.trig_u.te_2.IbcrILqr0le2ClDbbLAkG1If05zba/Q1" }, "arrive":1.987, "delay":0.179 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_0/secured_signal_14_18", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_0.NbvqsJxiwzrIDIH7[0]" }, "arrive":2.069, "delay":0.082 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.069, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ---------------- ------ --------------------- ------ top_reveal_coretop_instance/core0/trig_u/tu_0/secured_instance_14_24/CLK->top_reveal_coretop_instance/core0/trig_u/tu_0/secured_instance_14_24/Q SLICE_R43C53B REG_DEL 0.179 1.987 6 top_reveal_coretop_instance/core0/trig_u/tu_0/secured_signal_14_18 NET DELAY 0.082 2.069 6 top_reveal_coretop_instance/core0/trig_u/tu_0/secured_instance_14_23/DF ENDPOINT 0.000 2.069 1 Destination Clock Path { "path_begin": { "type":"pin", "log_name":"OSCA001.OSCA_inst/HFCLKOUT", "phy_name":"OSCA001.OSCA_inst/HFCLKOUT" }, "path_end": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_0/secured_instance_14_23/CLK", "phy_name":"top_reveal_coretop_instance.core0.trig_u.te_2.IbcrILqr0le2ClDbbLAkG1If05zba/CLK" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":0.000, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_141", "phy_name":"clk150" }, "arrive":1.921, "delay":1.921 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":1.921, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ---------------- ------ --------------------- ------ CONSTRAINT 0.000 0.000 1 OSCA001.OSCA_inst/HFCLKOUT OSC_CORE_OSC_CORE_R1C137 CLOCK LATENCY 0.000 0.000 325 top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_141 NET DELAY 1.921 1.921 325 {top_reveal_coretop_instance/core0/trig_u/tu_0/secured_instance_14_23/CLK top_reveal_coretop_instance/core0/trig_u/tu_0/secured_instance_14_24/CLK} CLOCK PIN 0.000 1.921 1 Uncertainty 0.000 1.921 Common Path Skew -0.113 1.808 Hold time 0.094 1.902 ---------------------------------------- ------------------------ ---------------- ------ --------------------- ------ Required Time -1.902 Arrival Time 2.069 ---------------------------------------- ------------------------ ---------------- ------ --------------------- ------ Path Slack (Passed) 0.167 ++++ Path 9 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Path Begin : top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_490/Q (SLICE_R49C50B) Path End : top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_491/DF (SLICE_R49C50B) Source Clock : clk150 (R) Destination Clock: clk150 (R) Logic Level : 1 Delay Ratio : 31.4% (route), 68.6% (logic) Clock Skew : 0.113 ns Hold Constraint : 0.000 ns Common Path Skew : -0.113 ns Path Slack : 0.167 ns (Passed) Source Clock Path { "path_begin": { "type":"pin", "log_name":"OSCA001.OSCA_inst/HFCLKOUT", "phy_name":"OSCA001.OSCA_inst/HFCLKOUT" }, "path_end": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_491/CLK", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.Ihm26k1oo75gFIeIH/CLK" }, "path_sections":[ { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_141", "phy_name":"clk150" }, "arrive":1.808, "delay":1.808 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":1.808, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ---------------- ------ --------------------- ------ OSCA001.OSCA_inst/HFCLKOUT OSC_CORE_OSC_CORE_R1C137 CLOCK LATENCY 0.000 0.000 325 top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_141 NET DELAY 1.808 1.808 325 {top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_491/CLK top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_490/CLK} CLOCK PIN 0.000 1.808 1 Data Path { "path_begin": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_490/Q", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.Ihm26k1oo75gFIeIH/Q1" }, "path_end": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_491/DF", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.Ihm26k1oo75gFIeIH/M0" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_490/CLK", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.Ihm26k1oo75gFIeIH/CLK" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_490/Q", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.Ihm26k1oo75gFIeIH/Q1" }, "arrive":1.987, "delay":0.179 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_signal_2_162", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.Neo15zDnCIDBEJ3zgix1[2]" }, "arrive":2.069, "delay":0.082 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.069, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ---------------- ------ --------------------- ------ top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_490/CLK->top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_490/Q SLICE_R49C50B REG_DEL 0.179 1.987 2 top_reveal_coretop_instance/core0/jtag_int_u/secured_signal_2_162 NET DELAY 0.082 2.069 2 top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_491/DF ENDPOINT 0.000 2.069 1 Destination Clock Path { "path_begin": { "type":"pin", "log_name":"OSCA001.OSCA_inst/HFCLKOUT", "phy_name":"OSCA001.OSCA_inst/HFCLKOUT" }, "path_end": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_491/CLK", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.Ihm26k1oo75gFIeIH/CLK" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":0.000, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_141", "phy_name":"clk150" }, "arrive":1.921, "delay":1.921 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":1.921, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ---------------- ------ --------------------- ------ CONSTRAINT 0.000 0.000 1 OSCA001.OSCA_inst/HFCLKOUT OSC_CORE_OSC_CORE_R1C137 CLOCK LATENCY 0.000 0.000 325 top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_141 NET DELAY 1.921 1.921 325 {top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_491/CLK top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_490/CLK} CLOCK PIN 0.000 1.921 1 Uncertainty 0.000 1.921 Common Path Skew -0.113 1.808 Hold time 0.094 1.902 ---------------------------------------- ------------------------ ---------------- ------ --------------------- ------ Required Time -1.902 Arrival Time 2.069 ---------------------------------------- ------------------------ ---------------- ------ --------------------- ------ Path Slack (Passed) 0.167 ++++ Path 10 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Path Begin : top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_10_134/Q (SLICE_R50C60B) Path End : top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_10_118/DF (SLICE_R50C60C) Source Clock : clk150 (R) Destination Clock: clk150 (R) Logic Level : 1 Delay Ratio : 32.6% (route), 67.4% (logic) Clock Skew : 0.113 ns Hold Constraint : 0.000 ns Common Path Skew : -0.111 ns Path Slack : 0.168 ns (Passed) Source Clock Path { "path_begin": { "type":"pin", "log_name":"OSCA001.OSCA_inst/HFCLKOUT", "phy_name":"OSCA001.OSCA_inst/HFCLKOUT" }, "path_end": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_10_134/CLK", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_4.Ix4LFxrk0hFsajtbF9BlD/CLK" }, "path_sections":[ { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_141", "phy_name":"clk150" }, "arrive":1.808, "delay":1.808 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":1.808, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ---------------- ------ --------------------- ------ OSCA001.OSCA_inst/HFCLKOUT OSC_CORE_OSC_CORE_R1C137 CLOCK LATENCY 0.000 0.000 325 top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_141 NET DELAY 1.808 1.808 325 {top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_10_134/CLK top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_10_145/CLK} CLOCK PIN 0.000 1.808 1 Data Path { "path_begin": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_10_134/Q", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_4.Ix4LFxrk0hFsajtbF9BlD/Q0" }, "path_end": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_10_118/DF", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_4.Ix4LFxrk0hFsajtbF9BlC/M1" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_10_134/CLK", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_4.Ix4LFxrk0hFsajtbF9BlD/CLK" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_10_134/Q", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_4.Ix4LFxrk0hFsajtbF9BlD/Q0" }, "arrive":1.986, "delay":0.178 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_4/secured_signal_10_134", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_4.Nc8pHcEsIxIrd" }, "arrive":2.072, "delay":0.086 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.072, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ---------------- ------ --------------------- ------ top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_10_134/CLK->top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_10_134/Q SLICE_R50C60B REG_DEL 0.178 1.986 5 top_reveal_coretop_instance/core0/trig_u/tu_4/secured_signal_10_134 NET DELAY 0.086 2.072 5 top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_10_118/DF ENDPOINT 0.000 2.072 1 Destination Clock Path { "path_begin": { "type":"pin", "log_name":"OSCA001.OSCA_inst/HFCLKOUT", "phy_name":"OSCA001.OSCA_inst/HFCLKOUT" }, "path_end": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_10_117/CLK", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_4.Ix4LFxrk0hFsajtbF9BlC/CLK" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":0.000, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_141", "phy_name":"clk150" }, "arrive":1.921, "delay":1.921 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":1.921, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ---------------- ------ --------------------- ------ CONSTRAINT 0.000 0.000 1 OSCA001.OSCA_inst/HFCLKOUT OSC_CORE_OSC_CORE_R1C137 CLOCK LATENCY 0.000 0.000 325 top_reveal_coretop_instance/core0/tm_u/secured_instance_1_105/secured_signal_0_141 NET DELAY 1.921 1.921 325 {top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_10_117/CLK top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_10_118/CLK} CLOCK PIN 0.000 1.921 1 Uncertainty 0.000 1.921 Common Path Skew -0.111 1.810 Hold time 0.094 1.904 ---------------------------------------- ------------------------ ---------------- ------ --------------------- ------ Required Time -1.904 Arrival Time 2.072 ---------------------------------------- ------------------------ ---------------- ------ --------------------- ------ Path Slack (Passed) 0.168 +++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ End of Detailed Report for timing paths +++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ ##########################################################

















































    Contents