Timing Report
Lattice Timing Report -  Setup  and Hold, Version Radiant Software (64-bit) 2023.2.1.288.0

Wed Jun  5 12:17:02 2024

Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved.
Copyright (c) 1995 AT&T Corp.   All rights reserved.
Copyright (c) 1995-2001 Lucent Technologies Inc.  All rights reserved.
Copyright (c) 2001 Agere Systems   All rights reserved.
Copyright (c) 2002-2023 Lattice Semiconductor Corporation,  All rights reserved.

Command line:    timing -sethld -v 100 -u 10 -endpoints 100 -nperend 10 -sp 9_High-Performance_1.0V -hsp m -pwrprd -html -rpt lab03_impl_1.twr lab03_impl_1.udb -gui -msgset C:/Users/ssyahril/Downloads/FAE_F2F_Training_Labs_V2/FAE_F2F_Training_Labs_V2/LAB_03/promote.xml

-------------------------------------------
Design:          top
Family:          LIFCL
Device:          LIFCL-17
Package:         QFN72
Performance:     9_High-Performance_1.0V
Package Status:                     Final          Version 23
Performance Hardware Data Status :   Final Version 118.1
-------------------------------------------


=====================================================================
                    Table of Contents
=====================================================================
  • 1 Timing Overview
  • 1.1 SDC Constraints
  • 1.2 Constraint Coverage
  • 1.3 Overall Summary
  • 1.4 Unconstrained Report
  • 1.5 Combinational Loop
  • 2 Setup at Speed Grade 9_High-Performance_1.0V Corner at 85 Degrees
  • 2.1 Clock Summary
  • 2.2 Endpoint slacks
  • 2.3 Detailed Report
  • 3 Setup at Speed Grade 9_High-Performance_1.0V Corner at 0 Degrees
  • 3.1 Clock Summary
  • 3.2 Endpoint slacks
  • 3.3 Detailed Report
  • 4 Hold at Speed Grade m Corner at 0 Degrees
  • 4.1 Endpoint slacks
  • 4.2 Detailed Report
  • ===================================================================== End of Table of Contents ===================================================================== 1 Timing Overview 1.1 SDC Constraints create_clock -name {CLK1} -period 10 [get_ports Clk] create_generated_clock -name {CLK2} -source [get_pins Clk_pad.bb_inst/O] -edges {1 2 9} [get_pins MyDCC/CLKO] 1.2 Constraint Coverage Constraint Coverage: 66.6667% 1.3 Overall Summary Setup at Speed Grade 9_High-Performance_1.0V Corner at 85 Degrees Timing Errors: 0 endpoints; Total Negative Slack: 0.000 ns Setup at Speed Grade 9_High-Performance_1.0V Corner at 0 Degrees Timing Errors: 0 endpoints; Total Negative Slack: 0.000 ns Hold at Speed Grade m Corner at 0 Degrees Timing Errors: 0 endpoints; Total Negative Slack: 0.000 ns 1.4 Unconstrained Report 1.4.1 Unconstrained Start/End Points Clocked but unconstrained timing start points ------------------------------------------------------------------- Listing 8 Start Points | Type ------------------------------------------------------------------- CNT2_d_i8.ff_inst/Q | No required time CNT2_d_i7.ff_inst/Q | No required time CNT2_d_i6.ff_inst/Q | No required time CNT2_d_i5.ff_inst/Q | No required time CNT2_d_i4.ff_inst/Q | No required time CNT2_d_i3.ff_inst/Q | No required time CNT2_d_i2.ff_inst/Q | No required time CNT2_d_i1.ff_inst/Q | No required time ------------------------------------------------------------------- | Number of unconstrained timing start po | ints | 8 | ------------------------------------------------------------------- Clocked but unconstrained timing end points ------------------------------------------------------------------- Listing 2 End Points | Type ------------------------------------------------------------------- CNT1_e3_e3_e3_21__i1.ff_inst/CE | No arrival time CNT1_e3_e3_e3_21__i0.ff_inst/CE | No arrival time ------------------------------------------------------------------- | Number of unconstrained timing end poin | ts | 2 | ------------------------------------------------------------------- 1.4.2 Start/End Points Without Timing Constraints I/O ports without constraint ---------------------------- Possible constraints to use on I/O ports are: set_input_delay, set_output_delay, set_max_delay, create_clock, create_generated_clock, ... ------------------------------------------------------------------- Listing 9 Start or End Points | Type ------------------------------------------------------------------- En1 | input out2[5] | output out2[6] | output out2[7] | output out2[4] | output out2[3] | output out2[2] | output out2[1] | output out2[0] | output ------------------------------------------------------------------- | Number of I/O ports without constraint | 9 | ------------------------------------------------------------------- Nets without clock definition Define a clock on a top level port or a generated clock on a clock divider pin associated with this net(s). -------------------------------------------------- There is no instance satisfying reporting criteria 1.5 Combinational Loop None 2 Setup at Speed Grade 9_High-Performance_1.0V Corner at 85 Degrees 2.1 Clock Summary 2.1.1 Clock "CLK1" create_clock -name {CLK1} -period 10 [get_ports Clk] Single Clock Domain ------------------------------------------------------------------------------------------------------- Clock CLK1 | | Period | Frequency ------------------------------------------------------------------------------------------------------- From CLK1 | Target | 10.000 ns | 100.000 MHz | Actual (all paths) | 5.000 ns | 200.000 MHz Clk_pad.bb_inst/B (MPW) | (50% duty cycle) | 5.000 ns | 200.000 MHz ------------------------------------------------------------------------------------------------------- Clock Domain Crossing ------------------------------------------------------------------------------------------------------ Clock CLK1 | Worst Time Between Edges | Comment ------------------------------------------------------------------------------------------------------ From CLK2 | ---- | No path ------------------------------------------------------------------------------------------------------ 2.1.2 Clock "CLK2" create_generated_clock -name {CLK2} -source [get_pins Clk_pad.bb_inst/O] -edges {1 2 9} [get_pins MyDCC/CLKO] Single Clock Domain ------------------------------------------------------------------------------------------------------- Clock CLK2 | | Period | Frequency ------------------------------------------------------------------------------------------------------- From CLK2 | Target | 40.000 ns | 25.000 MHz | Actual (all paths) | 2.000 ns | 500.000 MHz CNT2_d_i8.ff_inst/CLK (MPW) | (50% duty cycle) | 2.000 ns | 500.000 MHz ------------------------------------------------------------------------------------------------------- Clock Domain Crossing ------------------------------------------------------------------------------------------------------ Clock CLK2 | Worst Time Between Edges | Comment ------------------------------------------------------------------------------------------------------ From CLK1 | ---- | No path ------------------------------------------------------------------------------------------------------ 2.2 Endpoint slacks ------------------------------------------------------- Listing 19 End Points | Slack ------------------------------------------------------- CNT2_d_i4.ff_inst/DF | 4.297 ns CNT2_d_i3.ff_inst/DF | 4.316 ns CNT2_d_i5.ff_inst/DF | 4.316 ns CNT2_d_i7.ff_inst/DF | 4.316 ns CNT2_d_i2.ff_inst/DF | 4.342 ns CNT2_d_i8.ff_inst/DF | 4.342 ns CNT2_d_i1.ff_inst/DF | 4.367 ns CNT2_d_i6.ff_inst/DF | 4.398 ns MyDCC/CE | 6.755 ns CNT1_e3_e3_e3_21__i0.ff_inst/DF | 9.341 ns CNT1_e3_e3_e3_21__i1.ff_inst/DF | 9.341 ns CNT2_e3_e3_e3_20__i6.ff_inst/DF | 38.802 ns CNT2_e3_e3_e3_20__i7.ff_inst/DF | 38.821 ns CNT2_e3_e3_e3_20__i4.ff_inst/DF | 38.856 ns CNT2_e3_e3_e3_20__i5.ff_inst/DF | 38.875 ns CNT2_e3_e3_e3_20__i3.ff_inst/DF | 38.929 ns CNT2_e3_e3_e3_20__i2.ff_inst/DF | 38.998 ns CNT2_e3_e3_e3_20__i1.ff_inst/DF | 39.071 ns CNT2_e3_e3_e3_20__i0.ff_inst/DF | 39.381 ns ------------------------------------------------------- | Setup # of endpoints with negative slack:| 0 | ------------------------------------------------------- 2.3 Detailed Report XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX Detail report of critical paths XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX +++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Detailed Report for timing paths +++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ ++++Path 1 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Path Begin : CNT2_e3_e3_e3_20__i3.ff_inst/Q (SLICE_R3C67C) Path End : CNT2_d_i4.ff_inst/DF (SLICE_R4C67D) Source Clock : CLK2 (R) Destination Clock: CLK2 (F) Logic Level : 1 Delay Ratio : 49.8% (route), 50.2% (logic) Clock Skew : -0.164 ns Setup Constraint : 5.000 ns Common Path Skew : 0.031 ns Path Slack : 4.297 ns (Passed) Source Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i3.ff_inst/CLK", "phy_name":"SLICE_0/CLK" }, "path_sections":[ { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":0.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":1.366, "delay":1.366 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":1.883, "delay":0.517 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":1.883, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":2.970, "delay":1.087 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.970, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Clk top CLOCK LATENCY 0.000 0.000 1 Clk NET DELAY 0.000 0.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.366 1.366 3 Clk_c NET DELAY 0.517 1.883 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 1.883 13 clk2 NET DELAY 1.087 2.970 13 {CNT2_e3_e3_e3_20__i3.ff_inst/CLK CNT2_e3_e3_e3_20__i4.ff_inst/CLK} CLOCK PIN 0.000 2.970 1 Data Path { "path_begin": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i3.ff_inst/Q", "phy_name":"SLICE_0/Q0" }, "path_end": { "type":"pin", "log_name":"CNT2_d_i4.ff_inst/DF", "phy_name":"CNT2_d_i4.SLICE_11/M0" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20__i3.ff_inst/CLK", "phy_name":"SLICE_0/CLK" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20__i3.ff_inst/Q", "phy_name":"SLICE_0/Q0" }, "arrive":3.274, "delay":0.304 }, { "type":"net_delay", "net": { "log_name":"CNT2[3]", "phy_name":"CNT2[3]" }, "arrive":3.575, "delay":0.301 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":3.575, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ CNT2_e3_e3_e3_20__i3.ff_inst/CLK->CNT2_e3_e3_e3_20__i3.ff_inst/Q SLICE_R3C67C REG_DEL 0.304 3.274 2 CNT2[3] NET DELAY 0.301 3.575 2 CNT2_d_i4.ff_inst/DF ENDPOINT 0.000 3.575 1 Destination Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_d_i4.ff_inst/CLK", "phy_name":"CNT2_d_i4.SLICE_11/CLK" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":5.000, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":5.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":6.259, "delay":1.259 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":6.763, "delay":0.504 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":6.763, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":7.806, "delay":1.043 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":7.806, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ CONSTRAINT 0.000 5.000 1 Clk top CLOCK LATENCY 0.000 5.000 1 Clk NET DELAY 0.000 5.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.259 6.259 3 Clk_c NET DELAY 0.504 6.763 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 6.763 13 clk2 NET DELAY 1.043 7.806 13 CNT2_d_i4.ff_inst/CLK CLOCK PIN 0.000 7.806 1 Uncertainty -(0.000) 7.806 Common Path Skew 0.031 7.837 Setup time -(-0.035) 7.872 ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Required Time 7.872 Arrival Time -(3.575) ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Path Slack (Passed) 4.297 ++++ Path 2 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Path Begin : CNT2_e3_e3_e3_20__i2.ff_inst/Q (SLICE_R3C67B) Path End : CNT2_d_i3.ff_inst/DF (SLICE_R3C66C) Source Clock : CLK2 (R) Destination Clock: CLK2 (F) Logic Level : 1 Delay Ratio : 49.5% (route), 50.5% (logic) Clock Skew : -0.164 ns Setup Constraint : 5.000 ns Common Path Skew : 0.053 ns Path Slack : 4.316 ns (Passed) Source Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i1.ff_inst/CLK", "phy_name":"SLICE_2/CLK" }, "path_sections":[ { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":0.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":1.366, "delay":1.366 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":1.883, "delay":0.517 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":1.883, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":2.970, "delay":1.087 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.970, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Clk top CLOCK LATENCY 0.000 0.000 1 Clk NET DELAY 0.000 0.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.366 1.366 3 Clk_c NET DELAY 0.517 1.883 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 1.883 13 clk2 NET DELAY 1.087 2.970 13 {CNT2_e3_e3_e3_20__i1.ff_inst/CLK CNT2_e3_e3_e3_20__i2.ff_inst/CLK} CLOCK PIN 0.000 2.970 1 Data Path { "path_begin": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i2.ff_inst/Q", "phy_name":"SLICE_2/Q1" }, "path_end": { "type":"pin", "log_name":"CNT2_d_i3.ff_inst/DF", "phy_name":"CNT2_d_i3.SLICE_12/M0" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20__i2.ff_inst/CLK", "phy_name":"SLICE_2/CLK" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20__i2.ff_inst/Q", "phy_name":"SLICE_2/Q1" }, "arrive":3.277, "delay":0.307 }, { "type":"net_delay", "net": { "log_name":"CNT2[2]", "phy_name":"CNT2[2]" }, "arrive":3.578, "delay":0.301 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":3.578, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ CNT2_e3_e3_e3_20__i2.ff_inst/CLK->CNT2_e3_e3_e3_20__i2.ff_inst/Q SLICE_R3C67B REG_DEL 0.307 3.277 2 CNT2[2] NET DELAY 0.301 3.578 2 CNT2_d_i3.ff_inst/DF ENDPOINT 0.000 3.578 1 Destination Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_d_i3.ff_inst/CLK", "phy_name":"CNT2_d_i3.SLICE_12/CLK" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":5.000, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":5.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":6.259, "delay":1.259 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":6.763, "delay":0.504 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":6.763, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":7.806, "delay":1.043 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":7.806, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ CONSTRAINT 0.000 5.000 1 Clk top CLOCK LATENCY 0.000 5.000 1 Clk NET DELAY 0.000 5.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.259 6.259 3 Clk_c NET DELAY 0.504 6.763 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 6.763 13 clk2 NET DELAY 1.043 7.806 13 CNT2_d_i3.ff_inst/CLK CLOCK PIN 0.000 7.806 1 Uncertainty -(0.000) 7.806 Common Path Skew 0.053 7.859 Setup time -(-0.035) 7.894 ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Required Time 7.894 Arrival Time -(3.578) ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Path Slack (Passed) 4.316 ++++ Path 3 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Path Begin : CNT2_e3_e3_e3_20__i4.ff_inst/Q (SLICE_R3C67C) Path End : CNT2_d_i5.ff_inst/DF (SLICE_R3C68D) Source Clock : CLK2 (R) Destination Clock: CLK2 (F) Logic Level : 1 Delay Ratio : 49.5% (route), 50.5% (logic) Clock Skew : -0.164 ns Setup Constraint : 5.000 ns Common Path Skew : 0.053 ns Path Slack : 4.316 ns (Passed) Source Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i3.ff_inst/CLK", "phy_name":"SLICE_0/CLK" }, "path_sections":[ { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":0.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":1.366, "delay":1.366 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":1.883, "delay":0.517 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":1.883, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":2.970, "delay":1.087 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.970, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Clk top CLOCK LATENCY 0.000 0.000 1 Clk NET DELAY 0.000 0.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.366 1.366 3 Clk_c NET DELAY 0.517 1.883 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 1.883 13 clk2 NET DELAY 1.087 2.970 13 {CNT2_e3_e3_e3_20__i3.ff_inst/CLK CNT2_e3_e3_e3_20__i4.ff_inst/CLK} CLOCK PIN 0.000 2.970 1 Data Path { "path_begin": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i4.ff_inst/Q", "phy_name":"SLICE_0/Q1" }, "path_end": { "type":"pin", "log_name":"CNT2_d_i5.ff_inst/DF", "phy_name":"CNT2_d_i5.SLICE_10/M0" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20__i4.ff_inst/CLK", "phy_name":"SLICE_0/CLK" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20__i4.ff_inst/Q", "phy_name":"SLICE_0/Q1" }, "arrive":3.277, "delay":0.307 }, { "type":"net_delay", "net": { "log_name":"CNT2[4]", "phy_name":"CNT2[4]" }, "arrive":3.578, "delay":0.301 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":3.578, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ CNT2_e3_e3_e3_20__i4.ff_inst/CLK->CNT2_e3_e3_e3_20__i4.ff_inst/Q SLICE_R3C67C REG_DEL 0.307 3.277 2 CNT2[4] NET DELAY 0.301 3.578 2 CNT2_d_i5.ff_inst/DF ENDPOINT 0.000 3.578 1 Destination Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_d_i5.ff_inst/CLK", "phy_name":"CNT2_d_i5.SLICE_10/CLK" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":5.000, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":5.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":6.259, "delay":1.259 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":6.763, "delay":0.504 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":6.763, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":7.806, "delay":1.043 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":7.806, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ CONSTRAINT 0.000 5.000 1 Clk top CLOCK LATENCY 0.000 5.000 1 Clk NET DELAY 0.000 5.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.259 6.259 3 Clk_c NET DELAY 0.504 6.763 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 6.763 13 clk2 NET DELAY 1.043 7.806 13 CNT2_d_i5.ff_inst/CLK CLOCK PIN 0.000 7.806 1 Uncertainty -(0.000) 7.806 Common Path Skew 0.053 7.859 Setup time -(-0.035) 7.894 ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Required Time 7.894 Arrival Time -(3.578) ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Path Slack (Passed) 4.316 ++++ Path 4 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Path Begin : CNT2_e3_e3_e3_20__i6.ff_inst/Q (SLICE_R3C67D) Path End : CNT2_d_i7.ff_inst/DF (SLICE_R3C66D) Source Clock : CLK2 (R) Destination Clock: CLK2 (F) Logic Level : 1 Delay Ratio : 49.5% (route), 50.5% (logic) Clock Skew : -0.164 ns Setup Constraint : 5.000 ns Common Path Skew : 0.053 ns Path Slack : 4.316 ns (Passed) Source Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i5.ff_inst/CLK", "phy_name":"SLICE_3/CLK" }, "path_sections":[ { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":0.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":1.366, "delay":1.366 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":1.883, "delay":0.517 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":1.883, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":2.970, "delay":1.087 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.970, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Clk top CLOCK LATENCY 0.000 0.000 1 Clk NET DELAY 0.000 0.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.366 1.366 3 Clk_c NET DELAY 0.517 1.883 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 1.883 13 clk2 NET DELAY 1.087 2.970 13 {CNT2_e3_e3_e3_20__i5.ff_inst/CLK CNT2_e3_e3_e3_20__i6.ff_inst/CLK} CLOCK PIN 0.000 2.970 1 Data Path { "path_begin": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i6.ff_inst/Q", "phy_name":"SLICE_3/Q1" }, "path_end": { "type":"pin", "log_name":"CNT2_d_i7.ff_inst/DF", "phy_name":"CNT2_d_i7.SLICE_7/M0" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20__i6.ff_inst/CLK", "phy_name":"SLICE_3/CLK" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20__i6.ff_inst/Q", "phy_name":"SLICE_3/Q1" }, "arrive":3.277, "delay":0.307 }, { "type":"net_delay", "net": { "log_name":"CNT2[6]", "phy_name":"CNT2[6]" }, "arrive":3.578, "delay":0.301 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":3.578, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ CNT2_e3_e3_e3_20__i6.ff_inst/CLK->CNT2_e3_e3_e3_20__i6.ff_inst/Q SLICE_R3C67D REG_DEL 0.307 3.277 2 CNT2[6] NET DELAY 0.301 3.578 2 CNT2_d_i7.ff_inst/DF ENDPOINT 0.000 3.578 1 Destination Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_d_i7.ff_inst/CLK", "phy_name":"CNT2_d_i7.SLICE_7/CLK" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":5.000, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":5.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":6.259, "delay":1.259 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":6.763, "delay":0.504 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":6.763, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":7.806, "delay":1.043 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":7.806, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ CONSTRAINT 0.000 5.000 1 Clk top CLOCK LATENCY 0.000 5.000 1 Clk NET DELAY 0.000 5.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.259 6.259 3 Clk_c NET DELAY 0.504 6.763 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 6.763 13 clk2 NET DELAY 1.043 7.806 13 CNT2_d_i7.ff_inst/CLK CLOCK PIN 0.000 7.806 1 Uncertainty -(0.000) 7.806 Common Path Skew 0.053 7.859 Setup time -(-0.035) 7.894 ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Required Time 7.894 Arrival Time -(3.578) ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Path Slack (Passed) 4.316 ++++ Path 5 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Path Begin : CNT2_e3_e3_e3_20__i1.ff_inst/Q (SLICE_R3C67B) Path End : CNT2_d_i2.ff_inst/DF (SLICE_R2C67D) Source Clock : CLK2 (R) Destination Clock: CLK2 (F) Logic Level : 1 Delay Ratio : 45.7% (route), 54.3% (logic) Clock Skew : -0.164 ns Setup Constraint : 5.000 ns Common Path Skew : 0.031 ns Path Slack : 4.342 ns (Passed) Source Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i1.ff_inst/CLK", "phy_name":"SLICE_2/CLK" }, "path_sections":[ { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":0.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":1.366, "delay":1.366 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":1.883, "delay":0.517 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":1.883, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":2.970, "delay":1.087 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.970, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Clk top CLOCK LATENCY 0.000 0.000 1 Clk NET DELAY 0.000 0.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.366 1.366 3 Clk_c NET DELAY 0.517 1.883 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 1.883 13 clk2 NET DELAY 1.087 2.970 13 {CNT2_e3_e3_e3_20__i1.ff_inst/CLK CNT2_e3_e3_e3_20__i2.ff_inst/CLK} CLOCK PIN 0.000 2.970 1 Data Path { "path_begin": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i1.ff_inst/Q", "phy_name":"SLICE_2/Q0" }, "path_end": { "type":"pin", "log_name":"CNT2_d_i2.ff_inst/DF", "phy_name":"CNT2_d_i2.SLICE_13/M0" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20__i1.ff_inst/CLK", "phy_name":"SLICE_2/CLK" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20__i1.ff_inst/Q", "phy_name":"SLICE_2/Q0" }, "arrive":3.274, "delay":0.304 }, { "type":"net_delay", "net": { "log_name":"CNT2[1]", "phy_name":"CNT2[1]" }, "arrive":3.530, "delay":0.256 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":3.530, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ CNT2_e3_e3_e3_20__i1.ff_inst/CLK->CNT2_e3_e3_e3_20__i1.ff_inst/Q SLICE_R3C67B REG_DEL 0.304 3.274 2 CNT2[1] NET DELAY 0.256 3.530 2 CNT2_d_i2.ff_inst/DF ENDPOINT 0.000 3.530 1 Destination Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_d_i2.ff_inst/CLK", "phy_name":"CNT2_d_i2.SLICE_13/CLK" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":5.000, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":5.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":6.259, "delay":1.259 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":6.763, "delay":0.504 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":6.763, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":7.806, "delay":1.043 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":7.806, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ CONSTRAINT 0.000 5.000 1 Clk top CLOCK LATENCY 0.000 5.000 1 Clk NET DELAY 0.000 5.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.259 6.259 3 Clk_c NET DELAY 0.504 6.763 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 6.763 13 clk2 NET DELAY 1.043 7.806 13 CNT2_d_i2.ff_inst/CLK CLOCK PIN 0.000 7.806 1 Uncertainty -(0.000) 7.806 Common Path Skew 0.031 7.837 Setup time -(-0.035) 7.872 ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Required Time 7.872 Arrival Time -(3.530) ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Path Slack (Passed) 4.342 ++++ Path 6 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Path Begin : CNT2_e3_e3_e3_20__i7.ff_inst/Q (SLICE_R3C68A) Path End : CNT2_d_i8.ff_inst/DF (SLICE_R2C68D) Source Clock : CLK2 (R) Destination Clock: CLK2 (F) Logic Level : 1 Delay Ratio : 45.7% (route), 54.3% (logic) Clock Skew : -0.164 ns Setup Constraint : 5.000 ns Common Path Skew : 0.031 ns Path Slack : 4.342 ns (Passed) Source Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i7.ff_inst/CLK", "phy_name":"SLICE_4/CLK" }, "path_sections":[ { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":0.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":1.366, "delay":1.366 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":1.883, "delay":0.517 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":1.883, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":2.970, "delay":1.087 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.970, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Clk top CLOCK LATENCY 0.000 0.000 1 Clk NET DELAY 0.000 0.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.366 1.366 3 Clk_c NET DELAY 0.517 1.883 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 1.883 13 clk2 NET DELAY 1.087 2.970 13 CNT2_e3_e3_e3_20__i7.ff_inst/CLK CLOCK PIN 0.000 2.970 1 Data Path { "path_begin": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i7.ff_inst/Q", "phy_name":"SLICE_4/Q0" }, "path_end": { "type":"pin", "log_name":"CNT2_d_i8.ff_inst/DF", "phy_name":"CNT2_d_i8.SLICE_6/M0" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20__i7.ff_inst/CLK", "phy_name":"SLICE_4/CLK" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20__i7.ff_inst/Q", "phy_name":"SLICE_4/Q0" }, "arrive":3.274, "delay":0.304 }, { "type":"net_delay", "net": { "log_name":"CNT2[7]", "phy_name":"CNT2[7]" }, "arrive":3.530, "delay":0.256 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":3.530, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ CNT2_e3_e3_e3_20__i7.ff_inst/CLK->CNT2_e3_e3_e3_20__i7.ff_inst/Q SLICE_R3C68A REG_DEL 0.304 3.274 2 CNT2[7] NET DELAY 0.256 3.530 2 CNT2_d_i8.ff_inst/DF ENDPOINT 0.000 3.530 1 Destination Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_d_i8.ff_inst/CLK", "phy_name":"CNT2_d_i8.SLICE_6/CLK" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":5.000, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":5.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":6.259, "delay":1.259 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":6.763, "delay":0.504 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":6.763, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":7.806, "delay":1.043 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":7.806, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ CONSTRAINT 0.000 5.000 1 Clk top CLOCK LATENCY 0.000 5.000 1 Clk NET DELAY 0.000 5.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.259 6.259 3 Clk_c NET DELAY 0.504 6.763 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 6.763 13 clk2 NET DELAY 1.043 7.806 13 CNT2_d_i8.ff_inst/CLK CLOCK PIN 0.000 7.806 1 Uncertainty -(0.000) 7.806 Common Path Skew 0.031 7.837 Setup time -(-0.035) 7.872 ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Required Time 7.872 Arrival Time -(3.530) ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Path Slack (Passed) 4.342 ++++ Path 7 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Path Begin : CNT2_e3_e3_e3_20__i0.ff_inst/Q (SLICE_R3C67A) Path End : CNT2_d_i1.ff_inst/DF (SLICE_R2C67C) Source Clock : CLK2 (R) Destination Clock: CLK2 (F) Logic Level : 1 Delay Ratio : 42.6% (route), 57.4% (logic) Clock Skew : -0.164 ns Setup Constraint : 5.000 ns Common Path Skew : 0.031 ns Path Slack : 4.367 ns (Passed) Source Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i0.ff_inst/CLK", "phy_name":"SLICE_1/CLK" }, "path_sections":[ { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":0.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":1.366, "delay":1.366 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":1.883, "delay":0.517 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":1.883, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":2.970, "delay":1.087 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.970, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Clk top CLOCK LATENCY 0.000 0.000 1 Clk NET DELAY 0.000 0.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.366 1.366 3 Clk_c NET DELAY 0.517 1.883 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 1.883 13 clk2 NET DELAY 1.087 2.970 13 CNT2_e3_e3_e3_20__i0.ff_inst/CLK CLOCK PIN 0.000 2.970 1 Data Path { "path_begin": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i0.ff_inst/Q", "phy_name":"SLICE_1/Q1" }, "path_end": { "type":"pin", "log_name":"CNT2_d_i1.ff_inst/DF", "phy_name":"CNT2_d_i1.SLICE_14/M0" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20__i0.ff_inst/CLK", "phy_name":"SLICE_1/CLK" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20__i0.ff_inst/Q", "phy_name":"SLICE_1/Q1" }, "arrive":3.277, "delay":0.307 }, { "type":"net_delay", "net": { "log_name":"CNT2[0]", "phy_name":"CNT2[0]" }, "arrive":3.505, "delay":0.228 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":3.505, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ CNT2_e3_e3_e3_20__i0.ff_inst/CLK->CNT2_e3_e3_e3_20__i0.ff_inst/Q SLICE_R3C67A REG_DEL 0.307 3.277 2 CNT2[0] NET DELAY 0.228 3.505 2 CNT2_d_i1.ff_inst/DF ENDPOINT 0.000 3.505 1 Destination Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_d_i1.ff_inst/CLK", "phy_name":"CNT2_d_i1.SLICE_14/CLK" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":5.000, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":5.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":6.259, "delay":1.259 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":6.763, "delay":0.504 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":6.763, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":7.806, "delay":1.043 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":7.806, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ CONSTRAINT 0.000 5.000 1 Clk top CLOCK LATENCY 0.000 5.000 1 Clk NET DELAY 0.000 5.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.259 6.259 3 Clk_c NET DELAY 0.504 6.763 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 6.763 13 clk2 NET DELAY 1.043 7.806 13 CNT2_d_i1.ff_inst/CLK CLOCK PIN 0.000 7.806 1 Uncertainty -(0.000) 7.806 Common Path Skew 0.031 7.837 Setup time -(-0.035) 7.872 ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Required Time 7.872 Arrival Time -(3.505) ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Path Slack (Passed) 4.367 ++++ Path 8 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Path Begin : CNT2_e3_e3_e3_20__i5.ff_inst/Q (SLICE_R3C67D) Path End : CNT2_d_i6.ff_inst/DF (SLICE_R3C65D) Source Clock : CLK2 (R) Destination Clock: CLK2 (F) Logic Level : 1 Delay Ratio : 42.2% (route), 57.8% (logic) Clock Skew : -0.164 ns Setup Constraint : 5.000 ns Common Path Skew : 0.053 ns Path Slack : 4.398 ns (Passed) Source Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i5.ff_inst/CLK", "phy_name":"SLICE_3/CLK" }, "path_sections":[ { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":0.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":1.366, "delay":1.366 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":1.883, "delay":0.517 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":1.883, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":2.970, "delay":1.087 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.970, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Clk top CLOCK LATENCY 0.000 0.000 1 Clk NET DELAY 0.000 0.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.366 1.366 3 Clk_c NET DELAY 0.517 1.883 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 1.883 13 clk2 NET DELAY 1.087 2.970 13 {CNT2_e3_e3_e3_20__i5.ff_inst/CLK CNT2_e3_e3_e3_20__i6.ff_inst/CLK} CLOCK PIN 0.000 2.970 1 Data Path { "path_begin": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i5.ff_inst/Q", "phy_name":"SLICE_3/Q0" }, "path_end": { "type":"pin", "log_name":"CNT2_d_i6.ff_inst/DF", "phy_name":"CNT2_d_i6.SLICE_9/M0" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20__i5.ff_inst/CLK", "phy_name":"SLICE_3/CLK" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20__i5.ff_inst/Q", "phy_name":"SLICE_3/Q0" }, "arrive":3.274, "delay":0.304 }, { "type":"net_delay", "net": { "log_name":"CNT2[5]", "phy_name":"CNT2[5]" }, "arrive":3.496, "delay":0.222 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":3.496, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ CNT2_e3_e3_e3_20__i5.ff_inst/CLK->CNT2_e3_e3_e3_20__i5.ff_inst/Q SLICE_R3C67D REG_DEL 0.304 3.274 2 CNT2[5] NET DELAY 0.222 3.496 2 CNT2_d_i6.ff_inst/DF ENDPOINT 0.000 3.496 1 Destination Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_d_i6.ff_inst/CLK", "phy_name":"CNT2_d_i6.SLICE_9/CLK" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":5.000, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":5.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":6.259, "delay":1.259 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":6.763, "delay":0.504 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":6.763, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":7.806, "delay":1.043 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":7.806, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ CONSTRAINT 0.000 5.000 1 Clk top CLOCK LATENCY 0.000 5.000 1 Clk NET DELAY 0.000 5.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.259 6.259 3 Clk_c NET DELAY 0.504 6.763 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 6.763 13 clk2 NET DELAY 1.043 7.806 13 CNT2_d_i6.ff_inst/CLK CLOCK PIN 0.000 7.806 1 Uncertainty -(0.000) 7.806 Common Path Skew 0.053 7.859 Setup time -(-0.035) 7.894 ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Required Time 7.894 Arrival Time -(3.496) ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Path Slack (Passed) 4.398 ++++ Path 9 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Path Begin : CNT1_e3_e3_e3_21__i0.ff_inst/Q (SLICE_R9C2C) Path End : MyDCC/CE (DCC_DCC_T6) Source Clock : CLK1 (R) Destination Clock: CLK1 (R) Logic Level : 2 Delay Ratio : 76.4% (route), 23.6% (logic) Clock Skew : -1.100 ns Setup Constraint : 10.000 ns Common Path Skew : 0.013 ns Path Slack : 6.755 ns (Passed) Source Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT1_e3_e3_e3_21__i0.ff_inst/CLK", "phy_name":"SLICE_8/CLK" }, "path_sections":[ { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":0.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":1.366, "delay":1.366 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":2.970, "delay":1.604 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.970, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- -------- --------------------- ------ Clk top CLOCK LATENCY 0.000 0.000 1 Clk NET DELAY 0.000 0.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.366 1.366 3 Clk_c NET DELAY 1.604 2.970 3 CNT1_e3_e3_e3_21__i0.ff_inst/CLK CLOCK PIN 0.000 2.970 1 Data Path { "path_begin": { "type":"pin", "log_name":"CNT1_e3_e3_e3_21__i0.ff_inst/Q", "phy_name":"SLICE_8/Q0" }, "path_end": { "type":"pin", "log_name":"MyDCC/CE", "phy_name":"MyDCC/CE" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"CNT1_e3_e3_e3_21__i0.ff_inst/CLK", "phy_name":"SLICE_8/CLK" }, "pin1": { "log_name":"CNT1_e3_e3_e3_21__i0.ff_inst/Q", "phy_name":"SLICE_8/Q0" }, "arrive":3.274, "delay":0.304 }, { "type":"net_delay", "net": { "log_name":"CNT1[0]", "phy_name":"CNT1[0]" }, "arrive":3.520, "delay":0.246 }, { "type":"site_delay", "pin0": { "log_name":"i25_2_lut/A", "phy_name":"SLICE_15/D0" }, "pin1": { "log_name":"i25_2_lut/Z", "phy_name":"SLICE_15/F0" }, "arrive":3.725, "delay":0.205 }, { "type":"net_delay", "net": { "log_name":"Enable", "phy_name":"Enable" }, "arrive":5.128, "delay":1.403 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":5.128, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- -------- --------------------- ------ CNT1_e3_e3_e3_21__i0.ff_inst/CLK->CNT1_e3_e3_e3_21__i0.ff_inst/Q SLICE_R9C2C REG_DEL 0.304 3.274 3 CNT1[0] NET DELAY 0.246 3.520 3 i25_2_lut/A->i25_2_lut/Z SLICE_R9C2B CTOF_DEL 0.205 3.725 1 Enable NET DELAY 1.403 5.128 1 MyDCC/CE ENDPOINT 0.000 5.128 1 Destination Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":10.000, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":10.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":11.366, "delay":1.366 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":11.870, "delay":0.504 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":11.870, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- -------- --------------------- ------ CONSTRAINT 0.000 10.000 1 Clk top CLOCK LATENCY 0.000 10.000 1 Clk NET DELAY 0.000 10.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.366 11.366 3 Clk_c NET DELAY 0.504 11.870 3 MyDCC/CLKI CLOCK PIN 0.000 11.870 1 Uncertainty -(0.000) 11.870 Common Path Skew 0.013 11.883 Setup time -(0.000) 11.883 ---------------------------------------- -------------- ---------------- -------- --------------------- ------ Required Time 11.883 Arrival Time -(5.128) ---------------------------------------- -------------- ---------------- -------- --------------------- ------ Path Slack (Passed) 6.755 ++++ Path 10 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Path Begin : CNT1_e3_e3_e3_21__i1.ff_inst/Q (SLICE_R9C2D) Path End : MyDCC/CE (DCC_DCC_T6) Source Clock : CLK1 (R) Destination Clock: CLK1 (R) Logic Level : 2 Delay Ratio : 76.3% (route), 23.7% (logic) Clock Skew : -1.100 ns Setup Constraint : 10.000 ns Common Path Skew : 0.013 ns Path Slack : 6.767 ns (Passed) Source Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT1_e3_e3_e3_21__i1.ff_inst/CLK", "phy_name":"SLICE_5/CLK" }, "path_sections":[ { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":0.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":1.366, "delay":1.366 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":2.970, "delay":1.604 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.970, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- -------- --------------------- ------ Clk top CLOCK LATENCY 0.000 0.000 1 Clk NET DELAY 0.000 0.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.366 1.366 3 Clk_c NET DELAY 1.604 2.970 3 CNT1_e3_e3_e3_21__i1.ff_inst/CLK CLOCK PIN 0.000 2.970 1 Data Path { "path_begin": { "type":"pin", "log_name":"CNT1_e3_e3_e3_21__i1.ff_inst/Q", "phy_name":"SLICE_5/Q0" }, "path_end": { "type":"pin", "log_name":"MyDCC/CE", "phy_name":"MyDCC/CE" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"CNT1_e3_e3_e3_21__i1.ff_inst/CLK", "phy_name":"SLICE_5/CLK" }, "pin1": { "log_name":"CNT1_e3_e3_e3_21__i1.ff_inst/Q", "phy_name":"SLICE_5/Q0" }, "arrive":3.274, "delay":0.304 }, { "type":"net_delay", "net": { "log_name":"CNT1[1]", "phy_name":"CNT1[1]" }, "arrive":3.508, "delay":0.234 }, { "type":"site_delay", "pin0": { "log_name":"i25_2_lut/B", "phy_name":"SLICE_15/B0" }, "pin1": { "log_name":"i25_2_lut/Z", "phy_name":"SLICE_15/F0" }, "arrive":3.713, "delay":0.205 }, { "type":"net_delay", "net": { "log_name":"Enable", "phy_name":"Enable" }, "arrive":5.116, "delay":1.403 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":5.116, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- -------- --------------------- ------ CNT1_e3_e3_e3_21__i1.ff_inst/CLK->CNT1_e3_e3_e3_21__i1.ff_inst/Q SLICE_R9C2D REG_DEL 0.304 3.274 2 CNT1[1] NET DELAY 0.234 3.508 2 i25_2_lut/B->i25_2_lut/Z SLICE_R9C2B CTOF_DEL 0.205 3.713 1 Enable NET DELAY 1.403 5.116 1 MyDCC/CE ENDPOINT 0.000 5.116 1 Destination Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":10.000, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":10.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":11.366, "delay":1.366 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":11.870, "delay":0.504 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":11.870, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- -------- --------------------- ------ CONSTRAINT 0.000 10.000 1 Clk top CLOCK LATENCY 0.000 10.000 1 Clk NET DELAY 0.000 10.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.366 11.366 3 Clk_c NET DELAY 0.504 11.870 3 MyDCC/CLKI CLOCK PIN 0.000 11.870 1 Uncertainty -(0.000) 11.870 Common Path Skew 0.013 11.883 Setup time -(0.000) 11.883 ---------------------------------------- -------------- ---------------- -------- --------------------- ------ Required Time 11.883 Arrival Time -(5.116) ---------------------------------------- -------------- ---------------- -------- --------------------- ------ Path Slack (Passed) 6.767 ++++ Path 11 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Path Begin : CNT1_e3_e3_e3_21__i0.ff_inst/Q (SLICE_R9C2C) Path End : CNT1_e3_e3_e3_21__i0.ff_inst/DF (SLICE_R9C2C) Source Clock : CLK1 (R) Destination Clock: CLK1 (R) Logic Level : 2 Delay Ratio : 27.9% (route), 72.1% (logic) Clock Skew : -0.058 ns Setup Constraint : 10.000 ns Common Path Skew : 0.058 ns Path Slack : 9.341 ns (Passed) Source Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT1_e3_e3_e3_21__i0.ff_inst/CLK", "phy_name":"SLICE_8/CLK" }, "path_sections":[ { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":0.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":1.366, "delay":1.366 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":2.970, "delay":1.604 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.970, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Clk top CLOCK LATENCY 0.000 0.000 1 Clk NET DELAY 0.000 0.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.366 1.366 3 Clk_c NET DELAY 1.604 2.970 3 CNT1_e3_e3_e3_21__i0.ff_inst/CLK CLOCK PIN 0.000 2.970 1 Data Path { "path_begin": { "type":"pin", "log_name":"CNT1_e3_e3_e3_21__i0.ff_inst/Q", "phy_name":"SLICE_8/Q0" }, "path_end": { "type":"pin", "log_name":"CNT1_e3_e3_e3_21__i0.ff_inst/DF", "phy_name":"SLICE_8/DI0" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"CNT1_e3_e3_e3_21__i0.ff_inst/CLK", "phy_name":"SLICE_8/CLK" }, "pin1": { "log_name":"CNT1_e3_e3_e3_21__i0.ff_inst/Q", "phy_name":"SLICE_8/Q0" }, "arrive":3.274, "delay":0.304 }, { "type":"net_delay", "net": { "log_name":"CNT1[0]", "phy_name":"CNT1[0]" }, "arrive":3.474, "delay":0.200 }, { "type":"site_delay", "pin0": { "log_name":"i34_1_lut/A", "phy_name":"SLICE_8/D0" }, "pin1": { "log_name":"i34_1_lut/Z", "phy_name":"SLICE_8/F0" }, "arrive":3.687, "delay":0.213 }, { "type":"net_delay", "net": { "log_name":"n15", "phy_name":"n15" }, "arrive":3.687, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":3.687, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ CNT1_e3_e3_e3_21__i0.ff_inst/CLK->CNT1_e3_e3_e3_21__i0.ff_inst/Q SLICE_R9C2C REG_DEL 0.304 3.274 3 CNT1[0] NET DELAY 0.200 3.474 3 i34_1_lut/A->i34_1_lut/Z SLICE_R9C2C CTOF_DEL 0.213 3.687 1 n15 NET DELAY 0.000 3.687 1 CNT1_e3_e3_e3_21__i0.ff_inst/DF ENDPOINT 0.000 3.687 1 Destination Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT1_e3_e3_e3_21__i0.ff_inst/CLK", "phy_name":"SLICE_8/CLK" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":10.000, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":10.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":11.366, "delay":1.366 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":12.912, "delay":1.546 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":12.912, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ CONSTRAINT 0.000 10.000 1 Clk top CLOCK LATENCY 0.000 10.000 1 Clk NET DELAY 0.000 10.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.366 11.366 3 Clk_c NET DELAY 1.546 12.912 3 CNT1_e3_e3_e3_21__i0.ff_inst/CLK CLOCK PIN 0.000 12.912 1 Uncertainty -(0.000) 12.912 Common Path Skew 0.058 12.970 Setup time -(-0.058) 13.028 ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Required Time 13.028 Arrival Time -(3.687) ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Path Slack (Passed) 9.341 ++++ Path 12 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Path Begin : CNT1_e3_e3_e3_21__i0.ff_inst/Q (SLICE_R9C2C) Path End : CNT1_e3_e3_e3_21__i1.ff_inst/DF (SLICE_R9C2D) Source Clock : CLK1 (R) Destination Clock: CLK1 (R) Logic Level : 2 Delay Ratio : 27.9% (route), 72.1% (logic) Clock Skew : -0.058 ns Setup Constraint : 10.000 ns Common Path Skew : 0.058 ns Path Slack : 9.341 ns (Passed) Source Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT1_e3_e3_e3_21__i0.ff_inst/CLK", "phy_name":"SLICE_8/CLK" }, "path_sections":[ { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":0.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":1.366, "delay":1.366 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":2.970, "delay":1.604 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.970, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Clk top CLOCK LATENCY 0.000 0.000 1 Clk NET DELAY 0.000 0.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.366 1.366 3 Clk_c NET DELAY 1.604 2.970 3 CNT1_e3_e3_e3_21__i0.ff_inst/CLK CLOCK PIN 0.000 2.970 1 Data Path { "path_begin": { "type":"pin", "log_name":"CNT1_e3_e3_e3_21__i0.ff_inst/Q", "phy_name":"SLICE_8/Q0" }, "path_end": { "type":"pin", "log_name":"CNT1_e3_e3_e3_21__i1.ff_inst/DF", "phy_name":"SLICE_5/DI0" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"CNT1_e3_e3_e3_21__i0.ff_inst/CLK", "phy_name":"SLICE_8/CLK" }, "pin1": { "log_name":"CNT1_e3_e3_e3_21__i0.ff_inst/Q", "phy_name":"SLICE_8/Q0" }, "arrive":3.274, "delay":0.304 }, { "type":"net_delay", "net": { "log_name":"CNT1[0]", "phy_name":"CNT1[0]" }, "arrive":3.474, "delay":0.200 }, { "type":"site_delay", "pin0": { "log_name":"i36_2_lut/B", "phy_name":"SLICE_5/D0" }, "pin1": { "log_name":"i36_2_lut/Z", "phy_name":"SLICE_5/F0" }, "arrive":3.687, "delay":0.213 }, { "type":"net_delay", "net": { "log_name":"n14", "phy_name":"n14" }, "arrive":3.687, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":3.687, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ CNT1_e3_e3_e3_21__i0.ff_inst/CLK->CNT1_e3_e3_e3_21__i0.ff_inst/Q SLICE_R9C2C REG_DEL 0.304 3.274 3 CNT1[0] NET DELAY 0.200 3.474 3 i36_2_lut/B->i36_2_lut/Z SLICE_R9C2D CTOF_DEL 0.213 3.687 1 n14 NET DELAY 0.000 3.687 1 CNT1_e3_e3_e3_21__i1.ff_inst/DF ENDPOINT 0.000 3.687 1 Destination Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT1_e3_e3_e3_21__i1.ff_inst/CLK", "phy_name":"SLICE_5/CLK" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":10.000, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":10.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":11.366, "delay":1.366 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":12.912, "delay":1.546 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":12.912, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ CONSTRAINT 0.000 10.000 1 Clk top CLOCK LATENCY 0.000 10.000 1 Clk NET DELAY 0.000 10.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.366 11.366 3 Clk_c NET DELAY 1.546 12.912 3 CNT1_e3_e3_e3_21__i1.ff_inst/CLK CLOCK PIN 0.000 12.912 1 Uncertainty -(0.000) 12.912 Common Path Skew 0.058 12.970 Setup time -(-0.058) 13.028 ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Required Time 13.028 Arrival Time -(3.687) ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Path Slack (Passed) 9.341 ++++ Path 13 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Path Begin : CNT1_e3_e3_e3_21__i1.ff_inst/Q (SLICE_R9C2D) Path End : CNT1_e3_e3_e3_21__i1.ff_inst/DF (SLICE_R9C2D) Source Clock : CLK1 (R) Destination Clock: CLK1 (R) Logic Level : 2 Delay Ratio : 23.2% (route), 76.8% (logic) Clock Skew : -0.058 ns Setup Constraint : 10.000 ns Common Path Skew : 0.058 ns Path Slack : 9.385 ns (Passed) Source Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT1_e3_e3_e3_21__i1.ff_inst/CLK", "phy_name":"SLICE_5/CLK" }, "path_sections":[ { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":0.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":1.366, "delay":1.366 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":2.970, "delay":1.604 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.970, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Clk top CLOCK LATENCY 0.000 0.000 1 Clk NET DELAY 0.000 0.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.366 1.366 3 Clk_c NET DELAY 1.604 2.970 3 CNT1_e3_e3_e3_21__i1.ff_inst/CLK CLOCK PIN 0.000 2.970 1 Data Path { "path_begin": { "type":"pin", "log_name":"CNT1_e3_e3_e3_21__i1.ff_inst/Q", "phy_name":"SLICE_5/Q0" }, "path_end": { "type":"pin", "log_name":"CNT1_e3_e3_e3_21__i1.ff_inst/DF", "phy_name":"SLICE_5/DI0" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"CNT1_e3_e3_e3_21__i1.ff_inst/CLK", "phy_name":"SLICE_5/CLK" }, "pin1": { "log_name":"CNT1_e3_e3_e3_21__i1.ff_inst/Q", "phy_name":"SLICE_5/Q0" }, "arrive":3.274, "delay":0.304 }, { "type":"net_delay", "net": { "log_name":"CNT1[1]", "phy_name":"CNT1[1]" }, "arrive":3.430, "delay":0.156 }, { "type":"site_delay", "pin0": { "log_name":"i36_2_lut/A", "phy_name":"SLICE_5/B0" }, "pin1": { "log_name":"i36_2_lut/Z", "phy_name":"SLICE_5/F0" }, "arrive":3.643, "delay":0.213 }, { "type":"net_delay", "net": { "log_name":"n14", "phy_name":"n14" }, "arrive":3.643, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":3.643, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ CNT1_e3_e3_e3_21__i1.ff_inst/CLK->CNT1_e3_e3_e3_21__i1.ff_inst/Q SLICE_R9C2D REG_DEL 0.304 3.274 2 CNT1[1] NET DELAY 0.156 3.430 2 i36_2_lut/A->i36_2_lut/Z SLICE_R9C2D CTOF_DEL 0.213 3.643 1 n14 NET DELAY 0.000 3.643 1 CNT1_e3_e3_e3_21__i1.ff_inst/DF ENDPOINT 0.000 3.643 1 Destination Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT1_e3_e3_e3_21__i1.ff_inst/CLK", "phy_name":"SLICE_5/CLK" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":10.000, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":10.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":11.366, "delay":1.366 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":12.912, "delay":1.546 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":12.912, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ CONSTRAINT 0.000 10.000 1 Clk top CLOCK LATENCY 0.000 10.000 1 Clk NET DELAY 0.000 10.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.366 11.366 3 Clk_c NET DELAY 1.546 12.912 3 CNT1_e3_e3_e3_21__i1.ff_inst/CLK CLOCK PIN 0.000 12.912 1 Uncertainty -(0.000) 12.912 Common Path Skew 0.058 12.970 Setup time -(-0.058) 13.028 ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Required Time 13.028 Arrival Time -(3.643) ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Path Slack (Passed) 9.385 ++++ Path 14 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Path Begin : CNT2_e3_e3_e3_20__i1.ff_inst/Q (SLICE_R3C67B) Path End : CNT2_e3_e3_e3_20__i6.ff_inst/DF (SLICE_R3C67D) Source Clock : CLK2 (R) Destination Clock: CLK2 (R) Logic Level : 4 Delay Ratio : 23.7% (route), 76.3% (logic) Clock Skew : -0.058 ns Setup Constraint : 40.000 ns Common Path Skew : 0.053 ns Path Slack : 38.802 ns (Passed) Source Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i1.ff_inst/CLK", "phy_name":"SLICE_2/CLK" }, "path_sections":[ { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":0.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":1.366, "delay":1.366 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":1.883, "delay":0.517 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":1.883, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":2.970, "delay":1.087 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.970, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Clk top CLOCK LATENCY 0.000 0.000 1 Clk NET DELAY 0.000 0.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.366 1.366 3 Clk_c NET DELAY 0.517 1.883 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 1.883 13 clk2 NET DELAY 1.087 2.970 13 {CNT2_e3_e3_e3_20__i1.ff_inst/CLK CNT2_e3_e3_e3_20__i2.ff_inst/CLK} CLOCK PIN 0.000 2.970 1 Data Path { "path_begin": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i1.ff_inst/Q", "phy_name":"SLICE_2/Q0" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i6.ff_inst/DF", "phy_name":"SLICE_3/DI1" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20__i1.ff_inst/CLK", "phy_name":"SLICE_2/CLK" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20__i1.ff_inst/Q", "phy_name":"SLICE_2/Q0" }, "arrive":3.274, "delay":0.304 }, { "type":"net_delay", "net": { "log_name":"CNT2[1]", "phy_name":"CNT2[1]" }, "arrive":3.570, "delay":0.296 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_3/B0", "phy_name":"SLICE_2/B0" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_3/COUT", "phy_name":"SLICE_2/FCO" }, "arrive":3.886, "delay":0.316 }, { "type":"net_delay", "net": { "log_name":"n135", "phy_name":"n135" }, "arrive":3.886, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_5/CIN", "phy_name":"SLICE_0/FCI" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_5/COUT", "phy_name":"SLICE_0/FCO" }, "arrive":3.940, "delay":0.054 }, { "type":"net_delay", "net": { "log_name":"n136", "phy_name":"n136" }, "arrive":3.940, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_7/CIN", "phy_name":"SLICE_3/FCI" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_7/S1", "phy_name":"SLICE_3/F1" }, "arrive":4.220, "delay":0.280 }, { "type":"net_delay", "net": { "log_name":"CNT2_7__N_3[6]", "phy_name":"CNT2_7__N_3[6]" }, "arrive":4.220, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":4.220, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ CNT2_e3_e3_e3_20__i1.ff_inst/CLK->CNT2_e3_e3_e3_20__i1.ff_inst/Q SLICE_R3C67B REG_DEL 0.304 3.274 2 CNT2[1] NET DELAY 0.296 3.570 2 CNT2_e3_e3_e3_20_add_4_3/B0->CNT2_e3_e3_e3_20_add_4_3/COUT SLICE_R3C67B C0TOFCO_DEL 0.316 3.886 1 n135 NET DELAY 0.000 3.886 1 CNT2_e3_e3_e3_20_add_4_5/CIN->CNT2_e3_e3_e3_20_add_4_5/COUT SLICE_R3C67C FCITOFCO_DEL 0.054 3.940 1 n136 NET DELAY 0.000 3.940 1 CNT2_e3_e3_e3_20_add_4_7/CIN->CNT2_e3_e3_e3_20_add_4_7/S1 SLICE_R3C67D FCITOF1_DEL 0.280 4.220 1 CNT2_7__N_3[6] NET DELAY 0.000 4.220 1 CNT2_e3_e3_e3_20__i6.ff_inst/DF ENDPOINT 0.000 4.220 1 Destination Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i5.ff_inst/CLK", "phy_name":"SLICE_3/CLK" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":40.000, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":40.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":41.366, "delay":1.366 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":41.870, "delay":0.504 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":41.870, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":42.912, "delay":1.042 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":42.912, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ CONSTRAINT 0.000 40.000 1 Clk top CLOCK LATENCY 0.000 40.000 1 Clk NET DELAY 0.000 40.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.366 41.366 3 Clk_c NET DELAY 0.504 41.870 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 41.870 13 clk2 NET DELAY 1.042 42.912 13 {CNT2_e3_e3_e3_20__i5.ff_inst/CLK CNT2_e3_e3_e3_20__i6.ff_inst/CLK} CLOCK PIN 0.000 42.912 1 Uncertainty -(0.000) 42.912 Common Path Skew 0.053 42.965 Setup time -(-0.057) 43.022 ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Required Time 43.022 Arrival Time -(4.220) ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Path Slack (Passed) 38.802 ++++ Path 15 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Path Begin : CNT2_e3_e3_e3_20__i1.ff_inst/Q (SLICE_R3C67B) Path End : CNT2_e3_e3_e3_20__i7.ff_inst/DF (SLICE_R3C68A) Source Clock : CLK2 (R) Destination Clock: CLK2 (R) Logic Level : 5 Delay Ratio : 24.0% (route), 76.0% (logic) Clock Skew : -0.058 ns Setup Constraint : 40.000 ns Common Path Skew : 0.053 ns Path Slack : 38.821 ns (Passed) Source Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i1.ff_inst/CLK", "phy_name":"SLICE_2/CLK" }, "path_sections":[ { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":0.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":1.366, "delay":1.366 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":1.883, "delay":0.517 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":1.883, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":2.970, "delay":1.087 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.970, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Clk top CLOCK LATENCY 0.000 0.000 1 Clk NET DELAY 0.000 0.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.366 1.366 3 Clk_c NET DELAY 0.517 1.883 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 1.883 13 clk2 NET DELAY 1.087 2.970 13 {CNT2_e3_e3_e3_20__i1.ff_inst/CLK CNT2_e3_e3_e3_20__i2.ff_inst/CLK} CLOCK PIN 0.000 2.970 1 Data Path { "path_begin": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i1.ff_inst/Q", "phy_name":"SLICE_2/Q0" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i7.ff_inst/DF", "phy_name":"SLICE_4/DI0" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20__i1.ff_inst/CLK", "phy_name":"SLICE_2/CLK" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20__i1.ff_inst/Q", "phy_name":"SLICE_2/Q0" }, "arrive":3.274, "delay":0.304 }, { "type":"net_delay", "net": { "log_name":"CNT2[1]", "phy_name":"CNT2[1]" }, "arrive":3.570, "delay":0.296 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_3/B0", "phy_name":"SLICE_2/B0" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_3/COUT", "phy_name":"SLICE_2/FCO" }, "arrive":3.886, "delay":0.316 }, { "type":"net_delay", "net": { "log_name":"n135", "phy_name":"n135" }, "arrive":3.886, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_5/CIN", "phy_name":"SLICE_0/FCI" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_5/COUT", "phy_name":"SLICE_0/FCO" }, "arrive":3.940, "delay":0.054 }, { "type":"net_delay", "net": { "log_name":"n136", "phy_name":"n136" }, "arrive":3.940, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_7/CIN", "phy_name":"SLICE_3/FCI" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_7/COUT", "phy_name":"SLICE_3/FCO" }, "arrive":3.994, "delay":0.054 }, { "type":"net_delay", "net": { "log_name":"n137", "phy_name":"n137" }, "arrive":3.994, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_9/CIN", "phy_name":"SLICE_4/FCI" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_9/S0", "phy_name":"SLICE_4/F0" }, "arrive":4.202, "delay":0.208 }, { "type":"net_delay", "net": { "log_name":"CNT2_7__N_3[7]", "phy_name":"CNT2_7__N_3[7]" }, "arrive":4.202, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":4.202, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ CNT2_e3_e3_e3_20__i1.ff_inst/CLK->CNT2_e3_e3_e3_20__i1.ff_inst/Q SLICE_R3C67B REG_DEL 0.304 3.274 2 CNT2[1] NET DELAY 0.296 3.570 2 CNT2_e3_e3_e3_20_add_4_3/B0->CNT2_e3_e3_e3_20_add_4_3/COUT SLICE_R3C67B C0TOFCO_DEL 0.316 3.886 1 n135 NET DELAY 0.000 3.886 1 CNT2_e3_e3_e3_20_add_4_5/CIN->CNT2_e3_e3_e3_20_add_4_5/COUT SLICE_R3C67C FCITOFCO_DEL 0.054 3.940 1 n136 NET DELAY 0.000 3.940 1 CNT2_e3_e3_e3_20_add_4_7/CIN->CNT2_e3_e3_e3_20_add_4_7/COUT SLICE_R3C67D FCITOFCO_DEL 0.054 3.994 1 n137 NET DELAY 0.000 3.994 1 CNT2_e3_e3_e3_20_add_4_9/CIN->CNT2_e3_e3_e3_20_add_4_9/S0 SLICE_R3C68A FCITOF0_DEL 0.208 4.202 1 CNT2_7__N_3[7] NET DELAY 0.000 4.202 1 CNT2_e3_e3_e3_20__i7.ff_inst/DF ENDPOINT 0.000 4.202 1 Destination Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i7.ff_inst/CLK", "phy_name":"SLICE_4/CLK" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":40.000, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":40.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":41.366, "delay":1.366 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":41.870, "delay":0.504 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":41.870, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":42.912, "delay":1.042 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":42.912, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ CONSTRAINT 0.000 40.000 1 Clk top CLOCK LATENCY 0.000 40.000 1 Clk NET DELAY 0.000 40.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.366 41.366 3 Clk_c NET DELAY 0.504 41.870 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 41.870 13 clk2 NET DELAY 1.042 42.912 13 CNT2_e3_e3_e3_20__i7.ff_inst/CLK CLOCK PIN 0.000 42.912 1 Uncertainty -(0.000) 42.912 Common Path Skew 0.053 42.965 Setup time -(-0.058) 43.023 ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Required Time 43.023 Arrival Time -(4.202) ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Path Slack (Passed) 38.821 ++++ Path 16 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Path Begin : CNT2_e3_e3_e3_20__i1.ff_inst/Q (SLICE_R3C67B) Path End : CNT2_e3_e3_e3_20__i4.ff_inst/DF (SLICE_R3C67C) Source Clock : CLK2 (R) Destination Clock: CLK2 (R) Logic Level : 3 Delay Ratio : 24.7% (route), 75.3% (logic) Clock Skew : -0.058 ns Setup Constraint : 40.000 ns Common Path Skew : 0.053 ns Path Slack : 38.856 ns (Passed) Source Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i1.ff_inst/CLK", "phy_name":"SLICE_2/CLK" }, "path_sections":[ { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":0.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":1.366, "delay":1.366 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":1.883, "delay":0.517 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":1.883, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":2.970, "delay":1.087 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.970, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Clk top CLOCK LATENCY 0.000 0.000 1 Clk NET DELAY 0.000 0.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.366 1.366 3 Clk_c NET DELAY 0.517 1.883 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 1.883 13 clk2 NET DELAY 1.087 2.970 13 {CNT2_e3_e3_e3_20__i1.ff_inst/CLK CNT2_e3_e3_e3_20__i2.ff_inst/CLK} CLOCK PIN 0.000 2.970 1 Data Path { "path_begin": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i1.ff_inst/Q", "phy_name":"SLICE_2/Q0" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i4.ff_inst/DF", "phy_name":"SLICE_0/DI1" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20__i1.ff_inst/CLK", "phy_name":"SLICE_2/CLK" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20__i1.ff_inst/Q", "phy_name":"SLICE_2/Q0" }, "arrive":3.274, "delay":0.304 }, { "type":"net_delay", "net": { "log_name":"CNT2[1]", "phy_name":"CNT2[1]" }, "arrive":3.570, "delay":0.296 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_3/B0", "phy_name":"SLICE_2/B0" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_3/COUT", "phy_name":"SLICE_2/FCO" }, "arrive":3.886, "delay":0.316 }, { "type":"net_delay", "net": { "log_name":"n135", "phy_name":"n135" }, "arrive":3.886, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_5/CIN", "phy_name":"SLICE_0/FCI" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_5/S1", "phy_name":"SLICE_0/F1" }, "arrive":4.166, "delay":0.280 }, { "type":"net_delay", "net": { "log_name":"CNT2_7__N_3[4]", "phy_name":"CNT2_7__N_3[4]" }, "arrive":4.166, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":4.166, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ CNT2_e3_e3_e3_20__i1.ff_inst/CLK->CNT2_e3_e3_e3_20__i1.ff_inst/Q SLICE_R3C67B REG_DEL 0.304 3.274 2 CNT2[1] NET DELAY 0.296 3.570 2 CNT2_e3_e3_e3_20_add_4_3/B0->CNT2_e3_e3_e3_20_add_4_3/COUT SLICE_R3C67B C0TOFCO_DEL 0.316 3.886 1 n135 NET DELAY 0.000 3.886 1 CNT2_e3_e3_e3_20_add_4_5/CIN->CNT2_e3_e3_e3_20_add_4_5/S1 SLICE_R3C67C FCITOF1_DEL 0.280 4.166 1 CNT2_7__N_3[4] NET DELAY 0.000 4.166 1 CNT2_e3_e3_e3_20__i4.ff_inst/DF ENDPOINT 0.000 4.166 1 Destination Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i3.ff_inst/CLK", "phy_name":"SLICE_0/CLK" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":40.000, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":40.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":41.366, "delay":1.366 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":41.870, "delay":0.504 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":41.870, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":42.912, "delay":1.042 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":42.912, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ CONSTRAINT 0.000 40.000 1 Clk top CLOCK LATENCY 0.000 40.000 1 Clk NET DELAY 0.000 40.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.366 41.366 3 Clk_c NET DELAY 0.504 41.870 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 41.870 13 clk2 NET DELAY 1.042 42.912 13 {CNT2_e3_e3_e3_20__i3.ff_inst/CLK CNT2_e3_e3_e3_20__i4.ff_inst/CLK} CLOCK PIN 0.000 42.912 1 Uncertainty -(0.000) 42.912 Common Path Skew 0.053 42.965 Setup time -(-0.057) 43.022 ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Required Time 43.022 Arrival Time -(4.166) ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Path Slack (Passed) 38.856 ++++ Path 17 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Path Begin : CNT2_e3_e3_e3_20__i1.ff_inst/Q (SLICE_R3C67B) Path End : CNT2_e3_e3_e3_20__i5.ff_inst/DF (SLICE_R3C67D) Source Clock : CLK2 (R) Destination Clock: CLK2 (R) Logic Level : 4 Delay Ratio : 25.1% (route), 74.9% (logic) Clock Skew : -0.058 ns Setup Constraint : 40.000 ns Common Path Skew : 0.053 ns Path Slack : 38.875 ns (Passed) Source Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i1.ff_inst/CLK", "phy_name":"SLICE_2/CLK" }, "path_sections":[ { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":0.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":1.366, "delay":1.366 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":1.883, "delay":0.517 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":1.883, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":2.970, "delay":1.087 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.970, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Clk top CLOCK LATENCY 0.000 0.000 1 Clk NET DELAY 0.000 0.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.366 1.366 3 Clk_c NET DELAY 0.517 1.883 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 1.883 13 clk2 NET DELAY 1.087 2.970 13 {CNT2_e3_e3_e3_20__i1.ff_inst/CLK CNT2_e3_e3_e3_20__i2.ff_inst/CLK} CLOCK PIN 0.000 2.970 1 Data Path { "path_begin": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i1.ff_inst/Q", "phy_name":"SLICE_2/Q0" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i5.ff_inst/DF", "phy_name":"SLICE_3/DI0" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20__i1.ff_inst/CLK", "phy_name":"SLICE_2/CLK" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20__i1.ff_inst/Q", "phy_name":"SLICE_2/Q0" }, "arrive":3.274, "delay":0.304 }, { "type":"net_delay", "net": { "log_name":"CNT2[1]", "phy_name":"CNT2[1]" }, "arrive":3.570, "delay":0.296 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_3/B0", "phy_name":"SLICE_2/B0" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_3/COUT", "phy_name":"SLICE_2/FCO" }, "arrive":3.886, "delay":0.316 }, { "type":"net_delay", "net": { "log_name":"n135", "phy_name":"n135" }, "arrive":3.886, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_5/CIN", "phy_name":"SLICE_0/FCI" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_5/COUT", "phy_name":"SLICE_0/FCO" }, "arrive":3.940, "delay":0.054 }, { "type":"net_delay", "net": { "log_name":"n136", "phy_name":"n136" }, "arrive":3.940, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_7/CIN", "phy_name":"SLICE_3/FCI" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_7/S0", "phy_name":"SLICE_3/F0" }, "arrive":4.148, "delay":0.208 }, { "type":"net_delay", "net": { "log_name":"CNT2_7__N_3[5]", "phy_name":"CNT2_7__N_3[5]" }, "arrive":4.148, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":4.148, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ CNT2_e3_e3_e3_20__i1.ff_inst/CLK->CNT2_e3_e3_e3_20__i1.ff_inst/Q SLICE_R3C67B REG_DEL 0.304 3.274 2 CNT2[1] NET DELAY 0.296 3.570 2 CNT2_e3_e3_e3_20_add_4_3/B0->CNT2_e3_e3_e3_20_add_4_3/COUT SLICE_R3C67B C0TOFCO_DEL 0.316 3.886 1 n135 NET DELAY 0.000 3.886 1 CNT2_e3_e3_e3_20_add_4_5/CIN->CNT2_e3_e3_e3_20_add_4_5/COUT SLICE_R3C67C FCITOFCO_DEL 0.054 3.940 1 n136 NET DELAY 0.000 3.940 1 CNT2_e3_e3_e3_20_add_4_7/CIN->CNT2_e3_e3_e3_20_add_4_7/S0 SLICE_R3C67D FCITOF0_DEL 0.208 4.148 1 CNT2_7__N_3[5] NET DELAY 0.000 4.148 1 CNT2_e3_e3_e3_20__i5.ff_inst/DF ENDPOINT 0.000 4.148 1 Destination Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i5.ff_inst/CLK", "phy_name":"SLICE_3/CLK" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":40.000, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":40.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":41.366, "delay":1.366 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":41.870, "delay":0.504 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":41.870, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":42.912, "delay":1.042 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":42.912, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ CONSTRAINT 0.000 40.000 1 Clk top CLOCK LATENCY 0.000 40.000 1 Clk NET DELAY 0.000 40.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.366 41.366 3 Clk_c NET DELAY 0.504 41.870 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 41.870 13 clk2 NET DELAY 1.042 42.912 13 {CNT2_e3_e3_e3_20__i5.ff_inst/CLK CNT2_e3_e3_e3_20__i6.ff_inst/CLK} CLOCK PIN 0.000 42.912 1 Uncertainty -(0.000) 42.912 Common Path Skew 0.053 42.965 Setup time -(-0.058) 43.023 ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Required Time 43.023 Arrival Time -(4.148) ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Path Slack (Passed) 38.875 ++++ Path 18 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Path Begin : CNT2_e3_e3_e3_20__i0.ff_inst/Q (SLICE_R3C67A) Path End : CNT2_e3_e3_e3_20__i6.ff_inst/DF (SLICE_R3C67D) Source Clock : CLK2 (R) Destination Clock: CLK2 (R) Logic Level : 5 Delay Ratio : 13.5% (route), 86.5% (logic) Clock Skew : -0.058 ns Setup Constraint : 40.000 ns Common Path Skew : 0.053 ns Path Slack : 38.885 ns (Passed) Source Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i0.ff_inst/CLK", "phy_name":"SLICE_1/CLK" }, "path_sections":[ { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":0.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":1.366, "delay":1.366 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":1.883, "delay":0.517 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":1.883, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":2.970, "delay":1.087 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.970, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Clk top CLOCK LATENCY 0.000 0.000 1 Clk NET DELAY 0.000 0.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.366 1.366 3 Clk_c NET DELAY 0.517 1.883 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 1.883 13 clk2 NET DELAY 1.087 2.970 13 CNT2_e3_e3_e3_20__i0.ff_inst/CLK CLOCK PIN 0.000 2.970 1 Data Path { "path_begin": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i0.ff_inst/Q", "phy_name":"SLICE_1/Q1" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i6.ff_inst/DF", "phy_name":"SLICE_3/DI1" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20__i0.ff_inst/CLK", "phy_name":"SLICE_1/CLK" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20__i0.ff_inst/Q", "phy_name":"SLICE_1/Q1" }, "arrive":3.277, "delay":0.307 }, { "type":"net_delay", "net": { "log_name":"CNT2[0]", "phy_name":"CNT2[0]" }, "arrive":3.433, "delay":0.156 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_cin/B1", "phy_name":"SLICE_1/B1" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_cin/COUT", "phy_name":"SLICE_1/FCO" }, "arrive":3.749, "delay":0.316 }, { "type":"net_delay", "net": { "log_name":"n134", "phy_name":"n134" }, "arrive":3.749, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_3/CIN", "phy_name":"SLICE_2/FCI" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_3/COUT", "phy_name":"SLICE_2/FCO" }, "arrive":3.803, "delay":0.046 }, { "type":"net_delay", "net": { "log_name":"n135", "phy_name":"n135" }, "arrive":3.803, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_5/CIN", "phy_name":"SLICE_0/FCI" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_5/COUT", "phy_name":"SLICE_0/FCO" }, "arrive":3.857, "delay":0.054 }, { "type":"net_delay", "net": { "log_name":"n136", "phy_name":"n136" }, "arrive":3.857, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_7/CIN", "phy_name":"SLICE_3/FCI" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_7/S1", "phy_name":"SLICE_3/F1" }, "arrive":4.137, "delay":0.280 }, { "type":"net_delay", "net": { "log_name":"CNT2_7__N_3[6]", "phy_name":"CNT2_7__N_3[6]" }, "arrive":4.137, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":4.137, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ CNT2_e3_e3_e3_20__i0.ff_inst/CLK->CNT2_e3_e3_e3_20__i0.ff_inst/Q SLICE_R3C67A REG_DEL 0.307 3.277 2 CNT2[0] NET DELAY 0.156 3.433 2 CNT2_e3_e3_e3_20_add_4_cin/B1->CNT2_e3_e3_e3_20_add_4_cin/COUT SLICE_R3C67A C1TOFCO_DEL 0.316 3.749 1 n134 NET DELAY 0.000 3.749 1 CNT2_e3_e3_e3_20_add_4_3/CIN->CNT2_e3_e3_e3_20_add_4_3/COUT SLICE_R3C67B FCITOFCO_DEL 0.046 3.803 1 n135 NET DELAY 0.000 3.803 1 CNT2_e3_e3_e3_20_add_4_5/CIN->CNT2_e3_e3_e3_20_add_4_5/COUT SLICE_R3C67C FCITOFCO_DEL 0.054 3.857 1 n136 NET DELAY 0.000 3.857 1 CNT2_e3_e3_e3_20_add_4_7/CIN->CNT2_e3_e3_e3_20_add_4_7/S1 SLICE_R3C67D FCITOF1_DEL 0.280 4.137 1 CNT2_7__N_3[6] NET DELAY 0.000 4.137 1 CNT2_e3_e3_e3_20__i6.ff_inst/DF ENDPOINT 0.000 4.137 1 Destination Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i5.ff_inst/CLK", "phy_name":"SLICE_3/CLK" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":40.000, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":40.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":41.366, "delay":1.366 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":41.870, "delay":0.504 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":41.870, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":42.912, "delay":1.042 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":42.912, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ CONSTRAINT 0.000 40.000 1 Clk top CLOCK LATENCY 0.000 40.000 1 Clk NET DELAY 0.000 40.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.366 41.366 3 Clk_c NET DELAY 0.504 41.870 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 41.870 13 clk2 NET DELAY 1.042 42.912 13 {CNT2_e3_e3_e3_20__i5.ff_inst/CLK CNT2_e3_e3_e3_20__i6.ff_inst/CLK} CLOCK PIN 0.000 42.912 1 Uncertainty -(0.000) 42.912 Common Path Skew 0.053 42.965 Setup time -(-0.057) 43.022 ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Required Time 43.022 Arrival Time -(4.137) ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Path Slack (Passed) 38.885 ++++ Path 19 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Path Begin : CNT2_e3_e3_e3_20__i0.ff_inst/Q (SLICE_R3C67A) Path End : CNT2_e3_e3_e3_20__i7.ff_inst/DF (SLICE_R3C68A) Source Clock : CLK2 (R) Destination Clock: CLK2 (R) Logic Level : 6 Delay Ratio : 13.7% (route), 86.3% (logic) Clock Skew : -0.058 ns Setup Constraint : 40.000 ns Common Path Skew : 0.053 ns Path Slack : 38.904 ns (Passed) Source Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i0.ff_inst/CLK", "phy_name":"SLICE_1/CLK" }, "path_sections":[ { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":0.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":1.366, "delay":1.366 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":1.883, "delay":0.517 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":1.883, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":2.970, "delay":1.087 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.970, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Clk top CLOCK LATENCY 0.000 0.000 1 Clk NET DELAY 0.000 0.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.366 1.366 3 Clk_c NET DELAY 0.517 1.883 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 1.883 13 clk2 NET DELAY 1.087 2.970 13 CNT2_e3_e3_e3_20__i0.ff_inst/CLK CLOCK PIN 0.000 2.970 1 Data Path { "path_begin": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i0.ff_inst/Q", "phy_name":"SLICE_1/Q1" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i7.ff_inst/DF", "phy_name":"SLICE_4/DI0" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20__i0.ff_inst/CLK", "phy_name":"SLICE_1/CLK" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20__i0.ff_inst/Q", "phy_name":"SLICE_1/Q1" }, "arrive":3.277, "delay":0.307 }, { "type":"net_delay", "net": { "log_name":"CNT2[0]", "phy_name":"CNT2[0]" }, "arrive":3.433, "delay":0.156 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_cin/B1", "phy_name":"SLICE_1/B1" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_cin/COUT", "phy_name":"SLICE_1/FCO" }, "arrive":3.749, "delay":0.316 }, { "type":"net_delay", "net": { "log_name":"n134", "phy_name":"n134" }, "arrive":3.749, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_3/CIN", "phy_name":"SLICE_2/FCI" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_3/COUT", "phy_name":"SLICE_2/FCO" }, "arrive":3.803, "delay":0.046 }, { "type":"net_delay", "net": { "log_name":"n135", "phy_name":"n135" }, "arrive":3.803, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_5/CIN", "phy_name":"SLICE_0/FCI" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_5/COUT", "phy_name":"SLICE_0/FCO" }, "arrive":3.857, "delay":0.054 }, { "type":"net_delay", "net": { "log_name":"n136", "phy_name":"n136" }, "arrive":3.857, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_7/CIN", "phy_name":"SLICE_3/FCI" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_7/COUT", "phy_name":"SLICE_3/FCO" }, "arrive":3.911, "delay":0.054 }, { "type":"net_delay", "net": { "log_name":"n137", "phy_name":"n137" }, "arrive":3.911, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_9/CIN", "phy_name":"SLICE_4/FCI" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_9/S0", "phy_name":"SLICE_4/F0" }, "arrive":4.119, "delay":0.208 }, { "type":"net_delay", "net": { "log_name":"CNT2_7__N_3[7]", "phy_name":"CNT2_7__N_3[7]" }, "arrive":4.119, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":4.119, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ CNT2_e3_e3_e3_20__i0.ff_inst/CLK->CNT2_e3_e3_e3_20__i0.ff_inst/Q SLICE_R3C67A REG_DEL 0.307 3.277 2 CNT2[0] NET DELAY 0.156 3.433 2 CNT2_e3_e3_e3_20_add_4_cin/B1->CNT2_e3_e3_e3_20_add_4_cin/COUT SLICE_R3C67A C1TOFCO_DEL 0.316 3.749 1 n134 NET DELAY 0.000 3.749 1 CNT2_e3_e3_e3_20_add_4_3/CIN->CNT2_e3_e3_e3_20_add_4_3/COUT SLICE_R3C67B FCITOFCO_DEL 0.046 3.803 1 n135 NET DELAY 0.000 3.803 1 CNT2_e3_e3_e3_20_add_4_5/CIN->CNT2_e3_e3_e3_20_add_4_5/COUT SLICE_R3C67C FCITOFCO_DEL 0.054 3.857 1 n136 NET DELAY 0.000 3.857 1 CNT2_e3_e3_e3_20_add_4_7/CIN->CNT2_e3_e3_e3_20_add_4_7/COUT SLICE_R3C67D FCITOFCO_DEL 0.054 3.911 1 n137 NET DELAY 0.000 3.911 1 CNT2_e3_e3_e3_20_add_4_9/CIN->CNT2_e3_e3_e3_20_add_4_9/S0 SLICE_R3C68A FCITOF0_DEL 0.208 4.119 1 CNT2_7__N_3[7] NET DELAY 0.000 4.119 1 CNT2_e3_e3_e3_20__i7.ff_inst/DF ENDPOINT 0.000 4.119 1 Destination Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i7.ff_inst/CLK", "phy_name":"SLICE_4/CLK" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":40.000, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":40.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":41.366, "delay":1.366 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":41.870, "delay":0.504 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":41.870, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":42.912, "delay":1.042 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":42.912, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ CONSTRAINT 0.000 40.000 1 Clk top CLOCK LATENCY 0.000 40.000 1 Clk NET DELAY 0.000 40.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.366 41.366 3 Clk_c NET DELAY 0.504 41.870 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 41.870 13 clk2 NET DELAY 1.042 42.912 13 CNT2_e3_e3_e3_20__i7.ff_inst/CLK CLOCK PIN 0.000 42.912 1 Uncertainty -(0.000) 42.912 Common Path Skew 0.053 42.965 Setup time -(-0.058) 43.023 ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Required Time 43.023 Arrival Time -(4.119) ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Path Slack (Passed) 38.904 ++++ Path 20 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Path Begin : CNT2_e3_e3_e3_20__i1.ff_inst/Q (SLICE_R3C67B) Path End : CNT2_e3_e3_e3_20__i3.ff_inst/DF (SLICE_R3C67C) Source Clock : CLK2 (R) Destination Clock: CLK2 (R) Logic Level : 3 Delay Ratio : 26.3% (route), 73.7% (logic) Clock Skew : -0.058 ns Setup Constraint : 40.000 ns Common Path Skew : 0.053 ns Path Slack : 38.929 ns (Passed) Source Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i1.ff_inst/CLK", "phy_name":"SLICE_2/CLK" }, "path_sections":[ { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":0.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":1.366, "delay":1.366 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":1.883, "delay":0.517 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":1.883, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":2.970, "delay":1.087 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.970, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Clk top CLOCK LATENCY 0.000 0.000 1 Clk NET DELAY 0.000 0.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.366 1.366 3 Clk_c NET DELAY 0.517 1.883 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 1.883 13 clk2 NET DELAY 1.087 2.970 13 {CNT2_e3_e3_e3_20__i1.ff_inst/CLK CNT2_e3_e3_e3_20__i2.ff_inst/CLK} CLOCK PIN 0.000 2.970 1 Data Path { "path_begin": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i1.ff_inst/Q", "phy_name":"SLICE_2/Q0" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i3.ff_inst/DF", "phy_name":"SLICE_0/DI0" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20__i1.ff_inst/CLK", "phy_name":"SLICE_2/CLK" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20__i1.ff_inst/Q", "phy_name":"SLICE_2/Q0" }, "arrive":3.274, "delay":0.304 }, { "type":"net_delay", "net": { "log_name":"CNT2[1]", "phy_name":"CNT2[1]" }, "arrive":3.570, "delay":0.296 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_3/B0", "phy_name":"SLICE_2/B0" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_3/COUT", "phy_name":"SLICE_2/FCO" }, "arrive":3.886, "delay":0.316 }, { "type":"net_delay", "net": { "log_name":"n135", "phy_name":"n135" }, "arrive":3.886, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_5/CIN", "phy_name":"SLICE_0/FCI" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_5/S0", "phy_name":"SLICE_0/F0" }, "arrive":4.094, "delay":0.208 }, { "type":"net_delay", "net": { "log_name":"CNT2_7__N_3[3]", "phy_name":"CNT2_7__N_3[3]" }, "arrive":4.094, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":4.094, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ CNT2_e3_e3_e3_20__i1.ff_inst/CLK->CNT2_e3_e3_e3_20__i1.ff_inst/Q SLICE_R3C67B REG_DEL 0.304 3.274 2 CNT2[1] NET DELAY 0.296 3.570 2 CNT2_e3_e3_e3_20_add_4_3/B0->CNT2_e3_e3_e3_20_add_4_3/COUT SLICE_R3C67B C0TOFCO_DEL 0.316 3.886 1 n135 NET DELAY 0.000 3.886 1 CNT2_e3_e3_e3_20_add_4_5/CIN->CNT2_e3_e3_e3_20_add_4_5/S0 SLICE_R3C67C FCITOF0_DEL 0.208 4.094 1 CNT2_7__N_3[3] NET DELAY 0.000 4.094 1 CNT2_e3_e3_e3_20__i3.ff_inst/DF ENDPOINT 0.000 4.094 1 Destination Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i3.ff_inst/CLK", "phy_name":"SLICE_0/CLK" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":40.000, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":40.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":41.366, "delay":1.366 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":41.870, "delay":0.504 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":41.870, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":42.912, "delay":1.042 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":42.912, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ CONSTRAINT 0.000 40.000 1 Clk top CLOCK LATENCY 0.000 40.000 1 Clk NET DELAY 0.000 40.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.366 41.366 3 Clk_c NET DELAY 0.504 41.870 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 41.870 13 clk2 NET DELAY 1.042 42.912 13 {CNT2_e3_e3_e3_20__i3.ff_inst/CLK CNT2_e3_e3_e3_20__i4.ff_inst/CLK} CLOCK PIN 0.000 42.912 1 Uncertainty -(0.000) 42.912 Common Path Skew 0.053 42.965 Setup time -(-0.058) 43.023 ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Required Time 43.023 Arrival Time -(4.094) ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Path Slack (Passed) 38.929 ++++ Path 21 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Path Begin : CNT2_e3_e3_e3_20__i0.ff_inst/Q (SLICE_R3C67A) Path End : CNT2_e3_e3_e3_20__i4.ff_inst/DF (SLICE_R3C67C) Source Clock : CLK2 (R) Destination Clock: CLK2 (R) Logic Level : 4 Delay Ratio : 14.1% (route), 85.9% (logic) Clock Skew : -0.058 ns Setup Constraint : 40.000 ns Common Path Skew : 0.053 ns Path Slack : 38.939 ns (Passed) Source Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i0.ff_inst/CLK", "phy_name":"SLICE_1/CLK" }, "path_sections":[ { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":0.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":1.366, "delay":1.366 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":1.883, "delay":0.517 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":1.883, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":2.970, "delay":1.087 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.970, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Clk top CLOCK LATENCY 0.000 0.000 1 Clk NET DELAY 0.000 0.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.366 1.366 3 Clk_c NET DELAY 0.517 1.883 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 1.883 13 clk2 NET DELAY 1.087 2.970 13 CNT2_e3_e3_e3_20__i0.ff_inst/CLK CLOCK PIN 0.000 2.970 1 Data Path { "path_begin": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i0.ff_inst/Q", "phy_name":"SLICE_1/Q1" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i4.ff_inst/DF", "phy_name":"SLICE_0/DI1" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20__i0.ff_inst/CLK", "phy_name":"SLICE_1/CLK" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20__i0.ff_inst/Q", "phy_name":"SLICE_1/Q1" }, "arrive":3.277, "delay":0.307 }, { "type":"net_delay", "net": { "log_name":"CNT2[0]", "phy_name":"CNT2[0]" }, "arrive":3.433, "delay":0.156 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_cin/B1", "phy_name":"SLICE_1/B1" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_cin/COUT", "phy_name":"SLICE_1/FCO" }, "arrive":3.749, "delay":0.316 }, { "type":"net_delay", "net": { "log_name":"n134", "phy_name":"n134" }, "arrive":3.749, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_3/CIN", "phy_name":"SLICE_2/FCI" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_3/COUT", "phy_name":"SLICE_2/FCO" }, "arrive":3.803, "delay":0.046 }, { "type":"net_delay", "net": { "log_name":"n135", "phy_name":"n135" }, "arrive":3.803, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_5/CIN", "phy_name":"SLICE_0/FCI" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_5/S1", "phy_name":"SLICE_0/F1" }, "arrive":4.083, "delay":0.280 }, { "type":"net_delay", "net": { "log_name":"CNT2_7__N_3[4]", "phy_name":"CNT2_7__N_3[4]" }, "arrive":4.083, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":4.083, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ CNT2_e3_e3_e3_20__i0.ff_inst/CLK->CNT2_e3_e3_e3_20__i0.ff_inst/Q SLICE_R3C67A REG_DEL 0.307 3.277 2 CNT2[0] NET DELAY 0.156 3.433 2 CNT2_e3_e3_e3_20_add_4_cin/B1->CNT2_e3_e3_e3_20_add_4_cin/COUT SLICE_R3C67A C1TOFCO_DEL 0.316 3.749 1 n134 NET DELAY 0.000 3.749 1 CNT2_e3_e3_e3_20_add_4_3/CIN->CNT2_e3_e3_e3_20_add_4_3/COUT SLICE_R3C67B FCITOFCO_DEL 0.046 3.803 1 n135 NET DELAY 0.000 3.803 1 CNT2_e3_e3_e3_20_add_4_5/CIN->CNT2_e3_e3_e3_20_add_4_5/S1 SLICE_R3C67C FCITOF1_DEL 0.280 4.083 1 CNT2_7__N_3[4] NET DELAY 0.000 4.083 1 CNT2_e3_e3_e3_20__i4.ff_inst/DF ENDPOINT 0.000 4.083 1 Destination Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i3.ff_inst/CLK", "phy_name":"SLICE_0/CLK" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":40.000, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":40.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":41.366, "delay":1.366 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":41.870, "delay":0.504 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":41.870, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":42.912, "delay":1.042 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":42.912, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ CONSTRAINT 0.000 40.000 1 Clk top CLOCK LATENCY 0.000 40.000 1 Clk NET DELAY 0.000 40.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.366 41.366 3 Clk_c NET DELAY 0.504 41.870 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 41.870 13 clk2 NET DELAY 1.042 42.912 13 {CNT2_e3_e3_e3_20__i3.ff_inst/CLK CNT2_e3_e3_e3_20__i4.ff_inst/CLK} CLOCK PIN 0.000 42.912 1 Uncertainty -(0.000) 42.912 Common Path Skew 0.053 42.965 Setup time -(-0.057) 43.022 ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Required Time 43.022 Arrival Time -(4.083) ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Path Slack (Passed) 38.939 ++++ Path 22 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Path Begin : CNT2_e3_e3_e3_20__i2.ff_inst/Q (SLICE_R3C67B) Path End : CNT2_e3_e3_e3_20__i6.ff_inst/DF (SLICE_R3C67D) Source Clock : CLK2 (R) Destination Clock: CLK2 (R) Logic Level : 4 Delay Ratio : 14.0% (route), 86.0% (logic) Clock Skew : -0.058 ns Setup Constraint : 40.000 ns Common Path Skew : 0.053 ns Path Slack : 38.939 ns (Passed) Source Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i1.ff_inst/CLK", "phy_name":"SLICE_2/CLK" }, "path_sections":[ { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":0.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":1.366, "delay":1.366 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":1.883, "delay":0.517 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":1.883, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":2.970, "delay":1.087 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.970, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Clk top CLOCK LATENCY 0.000 0.000 1 Clk NET DELAY 0.000 0.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.366 1.366 3 Clk_c NET DELAY 0.517 1.883 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 1.883 13 clk2 NET DELAY 1.087 2.970 13 {CNT2_e3_e3_e3_20__i1.ff_inst/CLK CNT2_e3_e3_e3_20__i2.ff_inst/CLK} CLOCK PIN 0.000 2.970 1 Data Path { "path_begin": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i2.ff_inst/Q", "phy_name":"SLICE_2/Q1" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i6.ff_inst/DF", "phy_name":"SLICE_3/DI1" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20__i2.ff_inst/CLK", "phy_name":"SLICE_2/CLK" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20__i2.ff_inst/Q", "phy_name":"SLICE_2/Q1" }, "arrive":3.277, "delay":0.307 }, { "type":"net_delay", "net": { "log_name":"CNT2[2]", "phy_name":"CNT2[2]" }, "arrive":3.433, "delay":0.156 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_3/B1", "phy_name":"SLICE_2/B1" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_3/COUT", "phy_name":"SLICE_2/FCO" }, "arrive":3.749, "delay":0.316 }, { "type":"net_delay", "net": { "log_name":"n135", "phy_name":"n135" }, "arrive":3.749, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_5/CIN", "phy_name":"SLICE_0/FCI" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_5/COUT", "phy_name":"SLICE_0/FCO" }, "arrive":3.803, "delay":0.054 }, { "type":"net_delay", "net": { "log_name":"n136", "phy_name":"n136" }, "arrive":3.803, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_7/CIN", "phy_name":"SLICE_3/FCI" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_7/S1", "phy_name":"SLICE_3/F1" }, "arrive":4.083, "delay":0.280 }, { "type":"net_delay", "net": { "log_name":"CNT2_7__N_3[6]", "phy_name":"CNT2_7__N_3[6]" }, "arrive":4.083, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":4.083, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ CNT2_e3_e3_e3_20__i2.ff_inst/CLK->CNT2_e3_e3_e3_20__i2.ff_inst/Q SLICE_R3C67B REG_DEL 0.307 3.277 2 CNT2[2] NET DELAY 0.156 3.433 2 CNT2_e3_e3_e3_20_add_4_3/B1->CNT2_e3_e3_e3_20_add_4_3/COUT SLICE_R3C67B C1TOFCO_DEL 0.316 3.749 1 n135 NET DELAY 0.000 3.749 1 CNT2_e3_e3_e3_20_add_4_5/CIN->CNT2_e3_e3_e3_20_add_4_5/COUT SLICE_R3C67C FCITOFCO_DEL 0.054 3.803 1 n136 NET DELAY 0.000 3.803 1 CNT2_e3_e3_e3_20_add_4_7/CIN->CNT2_e3_e3_e3_20_add_4_7/S1 SLICE_R3C67D FCITOF1_DEL 0.280 4.083 1 CNT2_7__N_3[6] NET DELAY 0.000 4.083 1 CNT2_e3_e3_e3_20__i6.ff_inst/DF ENDPOINT 0.000 4.083 1 Destination Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i5.ff_inst/CLK", "phy_name":"SLICE_3/CLK" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":40.000, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":40.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":41.366, "delay":1.366 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":41.870, "delay":0.504 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":41.870, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":42.912, "delay":1.042 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":42.912, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ CONSTRAINT 0.000 40.000 1 Clk top CLOCK LATENCY 0.000 40.000 1 Clk NET DELAY 0.000 40.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.366 41.366 3 Clk_c NET DELAY 0.504 41.870 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 41.870 13 clk2 NET DELAY 1.042 42.912 13 {CNT2_e3_e3_e3_20__i5.ff_inst/CLK CNT2_e3_e3_e3_20__i6.ff_inst/CLK} CLOCK PIN 0.000 42.912 1 Uncertainty -(0.000) 42.912 Common Path Skew 0.053 42.965 Setup time -(-0.057) 43.022 ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Required Time 43.022 Arrival Time -(4.083) ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Path Slack (Passed) 38.939 ++++ Path 23 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Path Begin : CNT2_e3_e3_e3_20__i2.ff_inst/Q (SLICE_R3C67B) Path End : CNT2_e3_e3_e3_20__i7.ff_inst/DF (SLICE_R3C68A) Source Clock : CLK2 (R) Destination Clock: CLK2 (R) Logic Level : 5 Delay Ratio : 14.2% (route), 85.8% (logic) Clock Skew : -0.058 ns Setup Constraint : 40.000 ns Common Path Skew : 0.053 ns Path Slack : 38.958 ns (Passed) Source Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i1.ff_inst/CLK", "phy_name":"SLICE_2/CLK" }, "path_sections":[ { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":0.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":1.366, "delay":1.366 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":1.883, "delay":0.517 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":1.883, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":2.970, "delay":1.087 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.970, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Clk top CLOCK LATENCY 0.000 0.000 1 Clk NET DELAY 0.000 0.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.366 1.366 3 Clk_c NET DELAY 0.517 1.883 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 1.883 13 clk2 NET DELAY 1.087 2.970 13 {CNT2_e3_e3_e3_20__i1.ff_inst/CLK CNT2_e3_e3_e3_20__i2.ff_inst/CLK} CLOCK PIN 0.000 2.970 1 Data Path { "path_begin": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i2.ff_inst/Q", "phy_name":"SLICE_2/Q1" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i7.ff_inst/DF", "phy_name":"SLICE_4/DI0" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20__i2.ff_inst/CLK", "phy_name":"SLICE_2/CLK" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20__i2.ff_inst/Q", "phy_name":"SLICE_2/Q1" }, "arrive":3.277, "delay":0.307 }, { "type":"net_delay", "net": { "log_name":"CNT2[2]", "phy_name":"CNT2[2]" }, "arrive":3.433, "delay":0.156 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_3/B1", "phy_name":"SLICE_2/B1" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_3/COUT", "phy_name":"SLICE_2/FCO" }, "arrive":3.749, "delay":0.316 }, { "type":"net_delay", "net": { "log_name":"n135", "phy_name":"n135" }, "arrive":3.749, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_5/CIN", "phy_name":"SLICE_0/FCI" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_5/COUT", "phy_name":"SLICE_0/FCO" }, "arrive":3.803, "delay":0.054 }, { "type":"net_delay", "net": { "log_name":"n136", "phy_name":"n136" }, "arrive":3.803, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_7/CIN", "phy_name":"SLICE_3/FCI" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_7/COUT", "phy_name":"SLICE_3/FCO" }, "arrive":3.857, "delay":0.054 }, { "type":"net_delay", "net": { "log_name":"n137", "phy_name":"n137" }, "arrive":3.857, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_9/CIN", "phy_name":"SLICE_4/FCI" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_9/S0", "phy_name":"SLICE_4/F0" }, "arrive":4.065, "delay":0.208 }, { "type":"net_delay", "net": { "log_name":"CNT2_7__N_3[7]", "phy_name":"CNT2_7__N_3[7]" }, "arrive":4.065, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":4.065, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ CNT2_e3_e3_e3_20__i2.ff_inst/CLK->CNT2_e3_e3_e3_20__i2.ff_inst/Q SLICE_R3C67B REG_DEL 0.307 3.277 2 CNT2[2] NET DELAY 0.156 3.433 2 CNT2_e3_e3_e3_20_add_4_3/B1->CNT2_e3_e3_e3_20_add_4_3/COUT SLICE_R3C67B C1TOFCO_DEL 0.316 3.749 1 n135 NET DELAY 0.000 3.749 1 CNT2_e3_e3_e3_20_add_4_5/CIN->CNT2_e3_e3_e3_20_add_4_5/COUT SLICE_R3C67C FCITOFCO_DEL 0.054 3.803 1 n136 NET DELAY 0.000 3.803 1 CNT2_e3_e3_e3_20_add_4_7/CIN->CNT2_e3_e3_e3_20_add_4_7/COUT SLICE_R3C67D FCITOFCO_DEL 0.054 3.857 1 n137 NET DELAY 0.000 3.857 1 CNT2_e3_e3_e3_20_add_4_9/CIN->CNT2_e3_e3_e3_20_add_4_9/S0 SLICE_R3C68A FCITOF0_DEL 0.208 4.065 1 CNT2_7__N_3[7] NET DELAY 0.000 4.065 1 CNT2_e3_e3_e3_20__i7.ff_inst/DF ENDPOINT 0.000 4.065 1 Destination Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i7.ff_inst/CLK", "phy_name":"SLICE_4/CLK" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":40.000, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":40.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":41.366, "delay":1.366 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":41.870, "delay":0.504 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":41.870, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":42.912, "delay":1.042 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":42.912, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ CONSTRAINT 0.000 40.000 1 Clk top CLOCK LATENCY 0.000 40.000 1 Clk NET DELAY 0.000 40.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.366 41.366 3 Clk_c NET DELAY 0.504 41.870 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 41.870 13 clk2 NET DELAY 1.042 42.912 13 CNT2_e3_e3_e3_20__i7.ff_inst/CLK CLOCK PIN 0.000 42.912 1 Uncertainty -(0.000) 42.912 Common Path Skew 0.053 42.965 Setup time -(-0.058) 43.023 ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Required Time 43.023 Arrival Time -(4.065) ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Path Slack (Passed) 38.958 ++++ Path 24 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Path Begin : CNT2_e3_e3_e3_20__i0.ff_inst/Q (SLICE_R3C67A) Path End : CNT2_e3_e3_e3_20__i5.ff_inst/DF (SLICE_R3C67D) Source Clock : CLK2 (R) Destination Clock: CLK2 (R) Logic Level : 5 Delay Ratio : 14.4% (route), 85.6% (logic) Clock Skew : -0.058 ns Setup Constraint : 40.000 ns Common Path Skew : 0.053 ns Path Slack : 38.958 ns (Passed) Source Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i0.ff_inst/CLK", "phy_name":"SLICE_1/CLK" }, "path_sections":[ { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":0.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":1.366, "delay":1.366 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":1.883, "delay":0.517 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":1.883, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":2.970, "delay":1.087 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.970, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Clk top CLOCK LATENCY 0.000 0.000 1 Clk NET DELAY 0.000 0.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.366 1.366 3 Clk_c NET DELAY 0.517 1.883 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 1.883 13 clk2 NET DELAY 1.087 2.970 13 CNT2_e3_e3_e3_20__i0.ff_inst/CLK CLOCK PIN 0.000 2.970 1 Data Path { "path_begin": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i0.ff_inst/Q", "phy_name":"SLICE_1/Q1" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i5.ff_inst/DF", "phy_name":"SLICE_3/DI0" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20__i0.ff_inst/CLK", "phy_name":"SLICE_1/CLK" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20__i0.ff_inst/Q", "phy_name":"SLICE_1/Q1" }, "arrive":3.277, "delay":0.307 }, { "type":"net_delay", "net": { "log_name":"CNT2[0]", "phy_name":"CNT2[0]" }, "arrive":3.433, "delay":0.156 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_cin/B1", "phy_name":"SLICE_1/B1" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_cin/COUT", "phy_name":"SLICE_1/FCO" }, "arrive":3.749, "delay":0.316 }, { "type":"net_delay", "net": { "log_name":"n134", "phy_name":"n134" }, "arrive":3.749, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_3/CIN", "phy_name":"SLICE_2/FCI" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_3/COUT", "phy_name":"SLICE_2/FCO" }, "arrive":3.803, "delay":0.046 }, { "type":"net_delay", "net": { "log_name":"n135", "phy_name":"n135" }, "arrive":3.803, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_5/CIN", "phy_name":"SLICE_0/FCI" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_5/COUT", "phy_name":"SLICE_0/FCO" }, "arrive":3.857, "delay":0.054 }, { "type":"net_delay", "net": { "log_name":"n136", "phy_name":"n136" }, "arrive":3.857, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_7/CIN", "phy_name":"SLICE_3/FCI" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_7/S0", "phy_name":"SLICE_3/F0" }, "arrive":4.065, "delay":0.208 }, { "type":"net_delay", "net": { "log_name":"CNT2_7__N_3[5]", "phy_name":"CNT2_7__N_3[5]" }, "arrive":4.065, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":4.065, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ CNT2_e3_e3_e3_20__i0.ff_inst/CLK->CNT2_e3_e3_e3_20__i0.ff_inst/Q SLICE_R3C67A REG_DEL 0.307 3.277 2 CNT2[0] NET DELAY 0.156 3.433 2 CNT2_e3_e3_e3_20_add_4_cin/B1->CNT2_e3_e3_e3_20_add_4_cin/COUT SLICE_R3C67A C1TOFCO_DEL 0.316 3.749 1 n134 NET DELAY 0.000 3.749 1 CNT2_e3_e3_e3_20_add_4_3/CIN->CNT2_e3_e3_e3_20_add_4_3/COUT SLICE_R3C67B FCITOFCO_DEL 0.046 3.803 1 n135 NET DELAY 0.000 3.803 1 CNT2_e3_e3_e3_20_add_4_5/CIN->CNT2_e3_e3_e3_20_add_4_5/COUT SLICE_R3C67C FCITOFCO_DEL 0.054 3.857 1 n136 NET DELAY 0.000 3.857 1 CNT2_e3_e3_e3_20_add_4_7/CIN->CNT2_e3_e3_e3_20_add_4_7/S0 SLICE_R3C67D FCITOF0_DEL 0.208 4.065 1 CNT2_7__N_3[5] NET DELAY 0.000 4.065 1 CNT2_e3_e3_e3_20__i5.ff_inst/DF ENDPOINT 0.000 4.065 1 Destination Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i5.ff_inst/CLK", "phy_name":"SLICE_3/CLK" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":40.000, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":40.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":41.366, "delay":1.366 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":41.870, "delay":0.504 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":41.870, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":42.912, "delay":1.042 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":42.912, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ CONSTRAINT 0.000 40.000 1 Clk top CLOCK LATENCY 0.000 40.000 1 Clk NET DELAY 0.000 40.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.366 41.366 3 Clk_c NET DELAY 0.504 41.870 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 41.870 13 clk2 NET DELAY 1.042 42.912 13 {CNT2_e3_e3_e3_20__i5.ff_inst/CLK CNT2_e3_e3_e3_20__i6.ff_inst/CLK} CLOCK PIN 0.000 42.912 1 Uncertainty -(0.000) 42.912 Common Path Skew 0.053 42.965 Setup time -(-0.058) 43.023 ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Required Time 43.023 Arrival Time -(4.065) ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Path Slack (Passed) 38.958 ++++ Path 25 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Path Begin : CNT2_e3_e3_e3_20__i2.ff_inst/Q (SLICE_R3C67B) Path End : CNT2_e3_e3_e3_20__i4.ff_inst/DF (SLICE_R3C67C) Source Clock : CLK2 (R) Destination Clock: CLK2 (R) Logic Level : 3 Delay Ratio : 14.7% (route), 85.3% (logic) Clock Skew : -0.058 ns Setup Constraint : 40.000 ns Common Path Skew : 0.053 ns Path Slack : 38.993 ns (Passed) Source Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i1.ff_inst/CLK", "phy_name":"SLICE_2/CLK" }, "path_sections":[ { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":0.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":1.366, "delay":1.366 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":1.883, "delay":0.517 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":1.883, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":2.970, "delay":1.087 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.970, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Clk top CLOCK LATENCY 0.000 0.000 1 Clk NET DELAY 0.000 0.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.366 1.366 3 Clk_c NET DELAY 0.517 1.883 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 1.883 13 clk2 NET DELAY 1.087 2.970 13 {CNT2_e3_e3_e3_20__i1.ff_inst/CLK CNT2_e3_e3_e3_20__i2.ff_inst/CLK} CLOCK PIN 0.000 2.970 1 Data Path { "path_begin": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i2.ff_inst/Q", "phy_name":"SLICE_2/Q1" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i4.ff_inst/DF", "phy_name":"SLICE_0/DI1" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20__i2.ff_inst/CLK", "phy_name":"SLICE_2/CLK" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20__i2.ff_inst/Q", "phy_name":"SLICE_2/Q1" }, "arrive":3.277, "delay":0.307 }, { "type":"net_delay", "net": { "log_name":"CNT2[2]", "phy_name":"CNT2[2]" }, "arrive":3.433, "delay":0.156 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_3/B1", "phy_name":"SLICE_2/B1" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_3/COUT", "phy_name":"SLICE_2/FCO" }, "arrive":3.749, "delay":0.316 }, { "type":"net_delay", "net": { "log_name":"n135", "phy_name":"n135" }, "arrive":3.749, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_5/CIN", "phy_name":"SLICE_0/FCI" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_5/S1", "phy_name":"SLICE_0/F1" }, "arrive":4.029, "delay":0.280 }, { "type":"net_delay", "net": { "log_name":"CNT2_7__N_3[4]", "phy_name":"CNT2_7__N_3[4]" }, "arrive":4.029, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":4.029, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ CNT2_e3_e3_e3_20__i2.ff_inst/CLK->CNT2_e3_e3_e3_20__i2.ff_inst/Q SLICE_R3C67B REG_DEL 0.307 3.277 2 CNT2[2] NET DELAY 0.156 3.433 2 CNT2_e3_e3_e3_20_add_4_3/B1->CNT2_e3_e3_e3_20_add_4_3/COUT SLICE_R3C67B C1TOFCO_DEL 0.316 3.749 1 n135 NET DELAY 0.000 3.749 1 CNT2_e3_e3_e3_20_add_4_5/CIN->CNT2_e3_e3_e3_20_add_4_5/S1 SLICE_R3C67C FCITOF1_DEL 0.280 4.029 1 CNT2_7__N_3[4] NET DELAY 0.000 4.029 1 CNT2_e3_e3_e3_20__i4.ff_inst/DF ENDPOINT 0.000 4.029 1 Destination Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i3.ff_inst/CLK", "phy_name":"SLICE_0/CLK" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":40.000, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":40.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":41.366, "delay":1.366 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":41.870, "delay":0.504 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":41.870, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":42.912, "delay":1.042 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":42.912, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ CONSTRAINT 0.000 40.000 1 Clk top CLOCK LATENCY 0.000 40.000 1 Clk NET DELAY 0.000 40.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.366 41.366 3 Clk_c NET DELAY 0.504 41.870 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 41.870 13 clk2 NET DELAY 1.042 42.912 13 {CNT2_e3_e3_e3_20__i3.ff_inst/CLK CNT2_e3_e3_e3_20__i4.ff_inst/CLK} CLOCK PIN 0.000 42.912 1 Uncertainty -(0.000) 42.912 Common Path Skew 0.053 42.965 Setup time -(-0.057) 43.022 ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Required Time 43.022 Arrival Time -(4.029) ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Path Slack (Passed) 38.993 ++++ Path 26 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Path Begin : CNT2_e3_e3_e3_20__i0.ff_inst/Q (SLICE_R3C67A) Path End : CNT2_e3_e3_e3_20__i2.ff_inst/DF (SLICE_R3C67B) Source Clock : CLK2 (R) Destination Clock: CLK2 (R) Logic Level : 3 Delay Ratio : 14.7% (route), 85.3% (logic) Clock Skew : -0.058 ns Setup Constraint : 40.000 ns Common Path Skew : 0.058 ns Path Slack : 38.998 ns (Passed) Source Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i0.ff_inst/CLK", "phy_name":"SLICE_1/CLK" }, "path_sections":[ { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":0.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":1.366, "delay":1.366 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":1.883, "delay":0.517 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":1.883, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":2.970, "delay":1.087 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.970, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Clk top CLOCK LATENCY 0.000 0.000 1 Clk NET DELAY 0.000 0.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.366 1.366 3 Clk_c NET DELAY 0.517 1.883 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 1.883 13 clk2 NET DELAY 1.087 2.970 13 CNT2_e3_e3_e3_20__i0.ff_inst/CLK CLOCK PIN 0.000 2.970 1 Data Path { "path_begin": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i0.ff_inst/Q", "phy_name":"SLICE_1/Q1" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i2.ff_inst/DF", "phy_name":"SLICE_2/DI1" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20__i0.ff_inst/CLK", "phy_name":"SLICE_1/CLK" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20__i0.ff_inst/Q", "phy_name":"SLICE_1/Q1" }, "arrive":3.277, "delay":0.307 }, { "type":"net_delay", "net": { "log_name":"CNT2[0]", "phy_name":"CNT2[0]" }, "arrive":3.433, "delay":0.156 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_cin/B1", "phy_name":"SLICE_1/B1" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_cin/COUT", "phy_name":"SLICE_1/FCO" }, "arrive":3.749, "delay":0.316 }, { "type":"net_delay", "net": { "log_name":"n134", "phy_name":"n134" }, "arrive":3.749, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_3/CIN", "phy_name":"SLICE_2/FCI" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_3/S1", "phy_name":"SLICE_2/F1" }, "arrive":4.029, "delay":0.280 }, { "type":"net_delay", "net": { "log_name":"CNT2_7__N_3[2]", "phy_name":"CNT2_7__N_3[2]" }, "arrive":4.029, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":4.029, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ CNT2_e3_e3_e3_20__i0.ff_inst/CLK->CNT2_e3_e3_e3_20__i0.ff_inst/Q SLICE_R3C67A REG_DEL 0.307 3.277 2 CNT2[0] NET DELAY 0.156 3.433 2 CNT2_e3_e3_e3_20_add_4_cin/B1->CNT2_e3_e3_e3_20_add_4_cin/COUT SLICE_R3C67A C1TOFCO_DEL 0.316 3.749 1 n134 NET DELAY 0.000 3.749 1 CNT2_e3_e3_e3_20_add_4_3/CIN->CNT2_e3_e3_e3_20_add_4_3/S1 SLICE_R3C67B FCITOF1_DEL 0.280 4.029 1 CNT2_7__N_3[2] NET DELAY 0.000 4.029 1 CNT2_e3_e3_e3_20__i2.ff_inst/DF ENDPOINT 0.000 4.029 1 Destination Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i1.ff_inst/CLK", "phy_name":"SLICE_2/CLK" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":40.000, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":40.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":41.366, "delay":1.366 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":41.870, "delay":0.504 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":41.870, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":42.912, "delay":1.042 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":42.912, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ CONSTRAINT 0.000 40.000 1 Clk top CLOCK LATENCY 0.000 40.000 1 Clk NET DELAY 0.000 40.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.366 41.366 3 Clk_c NET DELAY 0.504 41.870 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 41.870 13 clk2 NET DELAY 1.042 42.912 13 {CNT2_e3_e3_e3_20__i1.ff_inst/CLK CNT2_e3_e3_e3_20__i2.ff_inst/CLK} CLOCK PIN 0.000 42.912 1 Uncertainty -(0.000) 42.912 Common Path Skew 0.058 42.970 Setup time -(-0.057) 43.027 ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Required Time 43.027 Arrival Time -(4.029) ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Path Slack (Passed) 38.998 ++++ Path 27 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Path Begin : CNT2_e3_e3_e3_20__i3.ff_inst/Q (SLICE_R3C67C) Path End : CNT2_e3_e3_e3_20__i6.ff_inst/DF (SLICE_R3C67D) Source Clock : CLK2 (R) Destination Clock: CLK2 (R) Logic Level : 3 Delay Ratio : 15.2% (route), 84.8% (logic) Clock Skew : -0.058 ns Setup Constraint : 40.000 ns Common Path Skew : 0.058 ns Path Slack : 39.001 ns (Passed) Source Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i3.ff_inst/CLK", "phy_name":"SLICE_0/CLK" }, "path_sections":[ { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":0.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":1.366, "delay":1.366 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":1.883, "delay":0.517 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":1.883, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":2.970, "delay":1.087 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.970, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Clk top CLOCK LATENCY 0.000 0.000 1 Clk NET DELAY 0.000 0.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.366 1.366 3 Clk_c NET DELAY 0.517 1.883 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 1.883 13 clk2 NET DELAY 1.087 2.970 13 {CNT2_e3_e3_e3_20__i3.ff_inst/CLK CNT2_e3_e3_e3_20__i4.ff_inst/CLK} CLOCK PIN 0.000 2.970 1 Data Path { "path_begin": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i3.ff_inst/Q", "phy_name":"SLICE_0/Q0" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i6.ff_inst/DF", "phy_name":"SLICE_3/DI1" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20__i3.ff_inst/CLK", "phy_name":"SLICE_0/CLK" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20__i3.ff_inst/Q", "phy_name":"SLICE_0/Q0" }, "arrive":3.274, "delay":0.304 }, { "type":"net_delay", "net": { "log_name":"CNT2[3]", "phy_name":"CNT2[3]" }, "arrive":3.430, "delay":0.156 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_5/B0", "phy_name":"SLICE_0/B0" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_5/COUT", "phy_name":"SLICE_0/FCO" }, "arrive":3.741, "delay":0.285 }, { "type":"net_delay", "net": { "log_name":"n136", "phy_name":"n136" }, "arrive":3.741, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_7/CIN", "phy_name":"SLICE_3/FCI" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_7/S1", "phy_name":"SLICE_3/F1" }, "arrive":4.021, "delay":0.280 }, { "type":"net_delay", "net": { "log_name":"CNT2_7__N_3[6]", "phy_name":"CNT2_7__N_3[6]" }, "arrive":4.021, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":4.021, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ CNT2_e3_e3_e3_20__i3.ff_inst/CLK->CNT2_e3_e3_e3_20__i3.ff_inst/Q SLICE_R3C67C REG_DEL 0.304 3.274 2 CNT2[3] NET DELAY 0.156 3.430 2 CNT2_e3_e3_e3_20_add_4_5/B0->CNT2_e3_e3_e3_20_add_4_5/COUT SLICE_R3C67C C0TOFCO_DEL 0.285 3.741 1 n136 NET DELAY 0.000 3.741 1 CNT2_e3_e3_e3_20_add_4_7/CIN->CNT2_e3_e3_e3_20_add_4_7/S1 SLICE_R3C67D FCITOF1_DEL 0.280 4.021 1 CNT2_7__N_3[6] NET DELAY 0.000 4.021 1 CNT2_e3_e3_e3_20__i6.ff_inst/DF ENDPOINT 0.000 4.021 1 Destination Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i5.ff_inst/CLK", "phy_name":"SLICE_3/CLK" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":40.000, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":40.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":41.366, "delay":1.366 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":41.870, "delay":0.504 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":41.870, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":42.912, "delay":1.042 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":42.912, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ CONSTRAINT 0.000 40.000 1 Clk top CLOCK LATENCY 0.000 40.000 1 Clk NET DELAY 0.000 40.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.366 41.366 3 Clk_c NET DELAY 0.504 41.870 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 41.870 13 clk2 NET DELAY 1.042 42.912 13 {CNT2_e3_e3_e3_20__i5.ff_inst/CLK CNT2_e3_e3_e3_20__i6.ff_inst/CLK} CLOCK PIN 0.000 42.912 1 Uncertainty -(0.000) 42.912 Common Path Skew 0.058 42.970 Setup time -(-0.057) 43.027 ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Required Time 43.027 Arrival Time -(4.026) ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Path Slack (Passed) 39.001 ++++ Path 28 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Path Begin : CNT2_e3_e3_e3_20__i4.ff_inst/Q (SLICE_R3C67C) Path End : CNT2_e3_e3_e3_20__i6.ff_inst/DF (SLICE_R3C67D) Source Clock : CLK2 (R) Destination Clock: CLK2 (R) Logic Level : 3 Delay Ratio : 21.2% (route), 78.8% (logic) Clock Skew : -0.058 ns Setup Constraint : 40.000 ns Common Path Skew : 0.058 ns Path Slack : 38.920 ns (Passed) Source Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i3.ff_inst/CLK", "phy_name":"SLICE_0/CLK" }, "path_sections":[ { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":0.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":1.366, "delay":1.366 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":1.883, "delay":0.517 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":1.883, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":2.970, "delay":1.087 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.970, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Clk top CLOCK LATENCY 0.000 0.000 1 Clk NET DELAY 0.000 0.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.366 1.366 3 Clk_c NET DELAY 0.517 1.883 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 1.883 13 clk2 NET DELAY 1.087 2.970 13 {CNT2_e3_e3_e3_20__i3.ff_inst/CLK CNT2_e3_e3_e3_20__i4.ff_inst/CLK} CLOCK PIN 0.000 2.970 1 Data Path { "path_begin": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i4.ff_inst/Q", "phy_name":"SLICE_0/Q1" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i6.ff_inst/DF", "phy_name":"SLICE_3/DI1" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20__i4.ff_inst/CLK", "phy_name":"SLICE_0/CLK" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20__i4.ff_inst/Q", "phy_name":"SLICE_0/Q1" }, "arrive":3.277, "delay":0.307 }, { "type":"net_delay", "net": { "log_name":"CNT2[4]", "phy_name":"CNT2[4]" }, "arrive":3.511, "delay":0.234 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_5/B1", "phy_name":"SLICE_0/B1" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_5/COUT", "phy_name":"SLICE_0/FCO" }, "arrive":3.822, "delay":0.285 }, { "type":"net_delay", "net": { "log_name":"n136", "phy_name":"n136" }, "arrive":3.822, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_7/CIN", "phy_name":"SLICE_3/FCI" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_7/S1", "phy_name":"SLICE_3/F1" }, "arrive":4.102, "delay":0.280 }, { "type":"net_delay", "net": { "log_name":"CNT2_7__N_3[6]", "phy_name":"CNT2_7__N_3[6]" }, "arrive":4.102, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":4.102, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ CNT2_e3_e3_e3_20__i4.ff_inst/CLK->CNT2_e3_e3_e3_20__i4.ff_inst/Q SLICE_R3C67C REG_DEL 0.307 3.277 2 CNT2[4] NET DELAY 0.234 3.511 2 CNT2_e3_e3_e3_20_add_4_5/B1->CNT2_e3_e3_e3_20_add_4_5/COUT SLICE_R3C67C C1TOFCO_DEL 0.285 3.822 1 n136 NET DELAY 0.000 3.822 1 CNT2_e3_e3_e3_20_add_4_7/CIN->CNT2_e3_e3_e3_20_add_4_7/S1 SLICE_R3C67D FCITOF1_DEL 0.280 4.102 1 CNT2_7__N_3[6] NET DELAY 0.000 4.102 1 CNT2_e3_e3_e3_20__i6.ff_inst/DF ENDPOINT 0.000 4.102 1 Destination Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i5.ff_inst/CLK", "phy_name":"SLICE_3/CLK" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":40.000, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":40.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":41.366, "delay":1.366 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":41.870, "delay":0.504 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":41.870, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":42.912, "delay":1.042 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":42.912, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ CONSTRAINT 0.000 40.000 1 Clk top CLOCK LATENCY 0.000 40.000 1 Clk NET DELAY 0.000 40.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.366 41.366 3 Clk_c NET DELAY 0.504 41.870 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 41.870 13 clk2 NET DELAY 1.042 42.912 13 {CNT2_e3_e3_e3_20__i5.ff_inst/CLK CNT2_e3_e3_e3_20__i6.ff_inst/CLK} CLOCK PIN 0.000 42.912 1 Uncertainty -(0.000) 42.912 Common Path Skew 0.058 42.970 Setup time -(-0.057) 43.027 ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Required Time 43.027 Arrival Time -(4.107) ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Path Slack (Passed) 38.920 ++++ Path 29 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Path Begin : CNT2_e3_e3_e3_20__i2.ff_inst/Q (SLICE_R3C67B) Path End : CNT2_e3_e3_e3_20__i5.ff_inst/DF (SLICE_R3C67D) Source Clock : CLK2 (R) Destination Clock: CLK2 (R) Logic Level : 4 Delay Ratio : 15.0% (route), 85.0% (logic) Clock Skew : -0.058 ns Setup Constraint : 40.000 ns Common Path Skew : 0.053 ns Path Slack : 39.012 ns (Passed) Source Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i1.ff_inst/CLK", "phy_name":"SLICE_2/CLK" }, "path_sections":[ { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":0.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":1.366, "delay":1.366 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":1.883, "delay":0.517 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":1.883, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":2.970, "delay":1.087 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.970, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Clk top CLOCK LATENCY 0.000 0.000 1 Clk NET DELAY 0.000 0.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.366 1.366 3 Clk_c NET DELAY 0.517 1.883 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 1.883 13 clk2 NET DELAY 1.087 2.970 13 {CNT2_e3_e3_e3_20__i1.ff_inst/CLK CNT2_e3_e3_e3_20__i2.ff_inst/CLK} CLOCK PIN 0.000 2.970 1 Data Path { "path_begin": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i2.ff_inst/Q", "phy_name":"SLICE_2/Q1" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i5.ff_inst/DF", "phy_name":"SLICE_3/DI0" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20__i2.ff_inst/CLK", "phy_name":"SLICE_2/CLK" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20__i2.ff_inst/Q", "phy_name":"SLICE_2/Q1" }, "arrive":3.277, "delay":0.307 }, { "type":"net_delay", "net": { "log_name":"CNT2[2]", "phy_name":"CNT2[2]" }, "arrive":3.433, "delay":0.156 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_3/B1", "phy_name":"SLICE_2/B1" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_3/COUT", "phy_name":"SLICE_2/FCO" }, "arrive":3.749, "delay":0.316 }, { "type":"net_delay", "net": { "log_name":"n135", "phy_name":"n135" }, "arrive":3.749, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_5/CIN", "phy_name":"SLICE_0/FCI" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_5/COUT", "phy_name":"SLICE_0/FCO" }, "arrive":3.803, "delay":0.054 }, { "type":"net_delay", "net": { "log_name":"n136", "phy_name":"n136" }, "arrive":3.803, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_7/CIN", "phy_name":"SLICE_3/FCI" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_7/S0", "phy_name":"SLICE_3/F0" }, "arrive":4.011, "delay":0.208 }, { "type":"net_delay", "net": { "log_name":"CNT2_7__N_3[5]", "phy_name":"CNT2_7__N_3[5]" }, "arrive":4.011, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":4.011, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ CNT2_e3_e3_e3_20__i2.ff_inst/CLK->CNT2_e3_e3_e3_20__i2.ff_inst/Q SLICE_R3C67B REG_DEL 0.307 3.277 2 CNT2[2] NET DELAY 0.156 3.433 2 CNT2_e3_e3_e3_20_add_4_3/B1->CNT2_e3_e3_e3_20_add_4_3/COUT SLICE_R3C67B C1TOFCO_DEL 0.316 3.749 1 n135 NET DELAY 0.000 3.749 1 CNT2_e3_e3_e3_20_add_4_5/CIN->CNT2_e3_e3_e3_20_add_4_5/COUT SLICE_R3C67C FCITOFCO_DEL 0.054 3.803 1 n136 NET DELAY 0.000 3.803 1 CNT2_e3_e3_e3_20_add_4_7/CIN->CNT2_e3_e3_e3_20_add_4_7/S0 SLICE_R3C67D FCITOF0_DEL 0.208 4.011 1 CNT2_7__N_3[5] NET DELAY 0.000 4.011 1 CNT2_e3_e3_e3_20__i5.ff_inst/DF ENDPOINT 0.000 4.011 1 Destination Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i5.ff_inst/CLK", "phy_name":"SLICE_3/CLK" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":40.000, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":40.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":41.366, "delay":1.366 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":41.870, "delay":0.504 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":41.870, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":42.912, "delay":1.042 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":42.912, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ CONSTRAINT 0.000 40.000 1 Clk top CLOCK LATENCY 0.000 40.000 1 Clk NET DELAY 0.000 40.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.366 41.366 3 Clk_c NET DELAY 0.504 41.870 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 41.870 13 clk2 NET DELAY 1.042 42.912 13 {CNT2_e3_e3_e3_20__i5.ff_inst/CLK CNT2_e3_e3_e3_20__i6.ff_inst/CLK} CLOCK PIN 0.000 42.912 1 Uncertainty -(0.000) 42.912 Common Path Skew 0.053 42.965 Setup time -(-0.058) 43.023 ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Required Time 43.023 Arrival Time -(4.011) ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Path Slack (Passed) 39.012 ++++ Path 30 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Path Begin : CNT2_e3_e3_e3_20__i0.ff_inst/Q (SLICE_R3C67A) Path End : CNT2_e3_e3_e3_20__i3.ff_inst/DF (SLICE_R3C67C) Source Clock : CLK2 (R) Destination Clock: CLK2 (R) Logic Level : 4 Delay Ratio : 15.1% (route), 84.9% (logic) Clock Skew : -0.058 ns Setup Constraint : 40.000 ns Common Path Skew : 0.053 ns Path Slack : 39.012 ns (Passed) Source Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i0.ff_inst/CLK", "phy_name":"SLICE_1/CLK" }, "path_sections":[ { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":0.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":1.366, "delay":1.366 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":1.883, "delay":0.517 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":1.883, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":2.970, "delay":1.087 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.970, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Clk top CLOCK LATENCY 0.000 0.000 1 Clk NET DELAY 0.000 0.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.366 1.366 3 Clk_c NET DELAY 0.517 1.883 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 1.883 13 clk2 NET DELAY 1.087 2.970 13 CNT2_e3_e3_e3_20__i0.ff_inst/CLK CLOCK PIN 0.000 2.970 1 Data Path { "path_begin": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i0.ff_inst/Q", "phy_name":"SLICE_1/Q1" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i3.ff_inst/DF", "phy_name":"SLICE_0/DI0" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20__i0.ff_inst/CLK", "phy_name":"SLICE_1/CLK" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20__i0.ff_inst/Q", "phy_name":"SLICE_1/Q1" }, "arrive":3.277, "delay":0.307 }, { "type":"net_delay", "net": { "log_name":"CNT2[0]", "phy_name":"CNT2[0]" }, "arrive":3.433, "delay":0.156 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_cin/B1", "phy_name":"SLICE_1/B1" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_cin/COUT", "phy_name":"SLICE_1/FCO" }, "arrive":3.749, "delay":0.316 }, { "type":"net_delay", "net": { "log_name":"n134", "phy_name":"n134" }, "arrive":3.749, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_3/CIN", "phy_name":"SLICE_2/FCI" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_3/COUT", "phy_name":"SLICE_2/FCO" }, "arrive":3.803, "delay":0.046 }, { "type":"net_delay", "net": { "log_name":"n135", "phy_name":"n135" }, "arrive":3.803, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_5/CIN", "phy_name":"SLICE_0/FCI" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_5/S0", "phy_name":"SLICE_0/F0" }, "arrive":4.011, "delay":0.208 }, { "type":"net_delay", "net": { "log_name":"CNT2_7__N_3[3]", "phy_name":"CNT2_7__N_3[3]" }, "arrive":4.011, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":4.011, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ CNT2_e3_e3_e3_20__i0.ff_inst/CLK->CNT2_e3_e3_e3_20__i0.ff_inst/Q SLICE_R3C67A REG_DEL 0.307 3.277 2 CNT2[0] NET DELAY 0.156 3.433 2 CNT2_e3_e3_e3_20_add_4_cin/B1->CNT2_e3_e3_e3_20_add_4_cin/COUT SLICE_R3C67A C1TOFCO_DEL 0.316 3.749 1 n134 NET DELAY 0.000 3.749 1 CNT2_e3_e3_e3_20_add_4_3/CIN->CNT2_e3_e3_e3_20_add_4_3/COUT SLICE_R3C67B FCITOFCO_DEL 0.046 3.803 1 n135 NET DELAY 0.000 3.803 1 CNT2_e3_e3_e3_20_add_4_5/CIN->CNT2_e3_e3_e3_20_add_4_5/S0 SLICE_R3C67C FCITOF0_DEL 0.208 4.011 1 CNT2_7__N_3[3] NET DELAY 0.000 4.011 1 CNT2_e3_e3_e3_20__i3.ff_inst/DF ENDPOINT 0.000 4.011 1 Destination Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i3.ff_inst/CLK", "phy_name":"SLICE_0/CLK" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":40.000, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":40.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":41.366, "delay":1.366 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":41.870, "delay":0.504 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":41.870, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":42.912, "delay":1.042 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":42.912, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ CONSTRAINT 0.000 40.000 1 Clk top CLOCK LATENCY 0.000 40.000 1 Clk NET DELAY 0.000 40.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.366 41.366 3 Clk_c NET DELAY 0.504 41.870 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 41.870 13 clk2 NET DELAY 1.042 42.912 13 {CNT2_e3_e3_e3_20__i3.ff_inst/CLK CNT2_e3_e3_e3_20__i4.ff_inst/CLK} CLOCK PIN 0.000 42.912 1 Uncertainty -(0.000) 42.912 Common Path Skew 0.053 42.965 Setup time -(-0.058) 43.023 ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Required Time 43.023 Arrival Time -(4.011) ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Path Slack (Passed) 39.012 ++++ Path 31 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Path Begin : CNT2_e3_e3_e3_20__i3.ff_inst/Q (SLICE_R3C67C) Path End : CNT2_e3_e3_e3_20__i7.ff_inst/DF (SLICE_R3C68A) Source Clock : CLK2 (R) Destination Clock: CLK2 (R) Logic Level : 4 Delay Ratio : 15.5% (route), 84.5% (logic) Clock Skew : -0.058 ns Setup Constraint : 40.000 ns Common Path Skew : 0.053 ns Path Slack : 39.015 ns (Passed) Source Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i3.ff_inst/CLK", "phy_name":"SLICE_0/CLK" }, "path_sections":[ { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":0.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":1.366, "delay":1.366 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":1.883, "delay":0.517 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":1.883, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":2.970, "delay":1.087 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.970, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Clk top CLOCK LATENCY 0.000 0.000 1 Clk NET DELAY 0.000 0.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.366 1.366 3 Clk_c NET DELAY 0.517 1.883 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 1.883 13 clk2 NET DELAY 1.087 2.970 13 {CNT2_e3_e3_e3_20__i3.ff_inst/CLK CNT2_e3_e3_e3_20__i4.ff_inst/CLK} CLOCK PIN 0.000 2.970 1 Data Path { "path_begin": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i3.ff_inst/Q", "phy_name":"SLICE_0/Q0" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i7.ff_inst/DF", "phy_name":"SLICE_4/DI0" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20__i3.ff_inst/CLK", "phy_name":"SLICE_0/CLK" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20__i3.ff_inst/Q", "phy_name":"SLICE_0/Q0" }, "arrive":3.274, "delay":0.304 }, { "type":"net_delay", "net": { "log_name":"CNT2[3]", "phy_name":"CNT2[3]" }, "arrive":3.430, "delay":0.156 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_5/B0", "phy_name":"SLICE_0/B0" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_5/COUT", "phy_name":"SLICE_0/FCO" }, "arrive":3.746, "delay":0.285 }, { "type":"net_delay", "net": { "log_name":"n136", "phy_name":"n136" }, "arrive":3.746, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_7/CIN", "phy_name":"SLICE_3/FCI" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_7/COUT", "phy_name":"SLICE_3/FCO" }, "arrive":3.800, "delay":0.054 }, { "type":"net_delay", "net": { "log_name":"n137", "phy_name":"n137" }, "arrive":3.800, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_9/CIN", "phy_name":"SLICE_4/FCI" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_9/S0", "phy_name":"SLICE_4/F0" }, "arrive":4.008, "delay":0.208 }, { "type":"net_delay", "net": { "log_name":"CNT2_7__N_3[7]", "phy_name":"CNT2_7__N_3[7]" }, "arrive":4.008, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":4.008, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ CNT2_e3_e3_e3_20__i3.ff_inst/CLK->CNT2_e3_e3_e3_20__i3.ff_inst/Q SLICE_R3C67C REG_DEL 0.304 3.274 2 CNT2[3] NET DELAY 0.156 3.430 2 CNT2_e3_e3_e3_20_add_4_5/B0->CNT2_e3_e3_e3_20_add_4_5/COUT SLICE_R3C67C C0TOFCO_DEL 0.285 3.746 1 n136 NET DELAY 0.000 3.746 1 CNT2_e3_e3_e3_20_add_4_7/CIN->CNT2_e3_e3_e3_20_add_4_7/COUT SLICE_R3C67D FCITOFCO_DEL 0.054 3.800 1 n137 NET DELAY 0.000 3.800 1 CNT2_e3_e3_e3_20_add_4_9/CIN->CNT2_e3_e3_e3_20_add_4_9/S0 SLICE_R3C68A FCITOF0_DEL 0.208 4.008 1 CNT2_7__N_3[7] NET DELAY 0.000 4.008 1 CNT2_e3_e3_e3_20__i7.ff_inst/DF ENDPOINT 0.000 4.008 1 Destination Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i7.ff_inst/CLK", "phy_name":"SLICE_4/CLK" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":40.000, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":40.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":41.366, "delay":1.366 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":41.870, "delay":0.504 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":41.870, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":42.912, "delay":1.042 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":42.912, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ CONSTRAINT 0.000 40.000 1 Clk top CLOCK LATENCY 0.000 40.000 1 Clk NET DELAY 0.000 40.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.366 41.366 3 Clk_c NET DELAY 0.504 41.870 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 41.870 13 clk2 NET DELAY 1.042 42.912 13 CNT2_e3_e3_e3_20__i7.ff_inst/CLK CLOCK PIN 0.000 42.912 1 Uncertainty -(0.000) 42.912 Common Path Skew 0.053 42.965 Setup time -(-0.058) 43.023 ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Required Time 43.023 Arrival Time -(4.008) ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Path Slack (Passed) 39.015 ++++ Path 32 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Path Begin : CNT2_e3_e3_e3_20__i4.ff_inst/Q (SLICE_R3C67C) Path End : CNT2_e3_e3_e3_20__i7.ff_inst/DF (SLICE_R3C68A) Source Clock : CLK2 (R) Destination Clock: CLK2 (R) Logic Level : 4 Delay Ratio : 21.5% (route), 78.5% (logic) Clock Skew : -0.058 ns Setup Constraint : 40.000 ns Common Path Skew : 0.053 ns Path Slack : 38.934 ns (Passed) Source Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i3.ff_inst/CLK", "phy_name":"SLICE_0/CLK" }, "path_sections":[ { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":0.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":1.366, "delay":1.366 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":1.883, "delay":0.517 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":1.883, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":2.970, "delay":1.087 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.970, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Clk top CLOCK LATENCY 0.000 0.000 1 Clk NET DELAY 0.000 0.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.366 1.366 3 Clk_c NET DELAY 0.517 1.883 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 1.883 13 clk2 NET DELAY 1.087 2.970 13 {CNT2_e3_e3_e3_20__i3.ff_inst/CLK CNT2_e3_e3_e3_20__i4.ff_inst/CLK} CLOCK PIN 0.000 2.970 1 Data Path { "path_begin": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i4.ff_inst/Q", "phy_name":"SLICE_0/Q1" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i7.ff_inst/DF", "phy_name":"SLICE_4/DI0" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20__i4.ff_inst/CLK", "phy_name":"SLICE_0/CLK" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20__i4.ff_inst/Q", "phy_name":"SLICE_0/Q1" }, "arrive":3.277, "delay":0.307 }, { "type":"net_delay", "net": { "log_name":"CNT2[4]", "phy_name":"CNT2[4]" }, "arrive":3.511, "delay":0.234 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_5/B1", "phy_name":"SLICE_0/B1" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_5/COUT", "phy_name":"SLICE_0/FCO" }, "arrive":3.827, "delay":0.285 }, { "type":"net_delay", "net": { "log_name":"n136", "phy_name":"n136" }, "arrive":3.827, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_7/CIN", "phy_name":"SLICE_3/FCI" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_7/COUT", "phy_name":"SLICE_3/FCO" }, "arrive":3.881, "delay":0.054 }, { "type":"net_delay", "net": { "log_name":"n137", "phy_name":"n137" }, "arrive":3.881, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_9/CIN", "phy_name":"SLICE_4/FCI" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_9/S0", "phy_name":"SLICE_4/F0" }, "arrive":4.089, "delay":0.208 }, { "type":"net_delay", "net": { "log_name":"CNT2_7__N_3[7]", "phy_name":"CNT2_7__N_3[7]" }, "arrive":4.089, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":4.089, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ CNT2_e3_e3_e3_20__i4.ff_inst/CLK->CNT2_e3_e3_e3_20__i4.ff_inst/Q SLICE_R3C67C REG_DEL 0.307 3.277 2 CNT2[4] NET DELAY 0.234 3.511 2 CNT2_e3_e3_e3_20_add_4_5/B1->CNT2_e3_e3_e3_20_add_4_5/COUT SLICE_R3C67C C1TOFCO_DEL 0.285 3.827 1 n136 NET DELAY 0.000 3.827 1 CNT2_e3_e3_e3_20_add_4_7/CIN->CNT2_e3_e3_e3_20_add_4_7/COUT SLICE_R3C67D FCITOFCO_DEL 0.054 3.881 1 n137 NET DELAY 0.000 3.881 1 CNT2_e3_e3_e3_20_add_4_9/CIN->CNT2_e3_e3_e3_20_add_4_9/S0 SLICE_R3C68A FCITOF0_DEL 0.208 4.089 1 CNT2_7__N_3[7] NET DELAY 0.000 4.089 1 CNT2_e3_e3_e3_20__i7.ff_inst/DF ENDPOINT 0.000 4.089 1 Destination Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i7.ff_inst/CLK", "phy_name":"SLICE_4/CLK" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":40.000, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":40.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":41.366, "delay":1.366 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":41.870, "delay":0.504 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":41.870, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":42.912, "delay":1.042 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":42.912, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ CONSTRAINT 0.000 40.000 1 Clk top CLOCK LATENCY 0.000 40.000 1 Clk NET DELAY 0.000 40.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.366 41.366 3 Clk_c NET DELAY 0.504 41.870 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 41.870 13 clk2 NET DELAY 1.042 42.912 13 CNT2_e3_e3_e3_20__i7.ff_inst/CLK CLOCK PIN 0.000 42.912 1 Uncertainty -(0.000) 42.912 Common Path Skew 0.053 42.965 Setup time -(-0.058) 43.023 ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Required Time 43.023 Arrival Time -(4.089) ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Path Slack (Passed) 38.934 ++++ Path 33 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Path Begin : CNT2_e3_e3_e3_20__i1.ff_inst/Q (SLICE_R3C67B) Path End : CNT2_e3_e3_e3_20__i2.ff_inst/DF (SLICE_R3C67B) Source Clock : CLK2 (R) Destination Clock: CLK2 (R) Logic Level : 2 Delay Ratio : 28.5% (route), 71.5% (logic) Clock Skew : -0.058 ns Setup Constraint : 40.000 ns Common Path Skew : 0.058 ns Path Slack : 39.020 ns (Passed) Source Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i1.ff_inst/CLK", "phy_name":"SLICE_2/CLK" }, "path_sections":[ { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":0.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":1.366, "delay":1.366 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":1.883, "delay":0.517 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":1.883, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":2.970, "delay":1.087 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.970, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Clk top CLOCK LATENCY 0.000 0.000 1 Clk NET DELAY 0.000 0.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.366 1.366 3 Clk_c NET DELAY 0.517 1.883 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 1.883 13 clk2 NET DELAY 1.087 2.970 13 {CNT2_e3_e3_e3_20__i1.ff_inst/CLK CNT2_e3_e3_e3_20__i2.ff_inst/CLK} CLOCK PIN 0.000 2.970 1 Data Path { "path_begin": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i1.ff_inst/Q", "phy_name":"SLICE_2/Q0" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i2.ff_inst/DF", "phy_name":"SLICE_2/DI1" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20__i1.ff_inst/CLK", "phy_name":"SLICE_2/CLK" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20__i1.ff_inst/Q", "phy_name":"SLICE_2/Q0" }, "arrive":3.274, "delay":0.304 }, { "type":"net_delay", "net": { "log_name":"CNT2[1]", "phy_name":"CNT2[1]" }, "arrive":3.570, "delay":0.296 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_3/B0", "phy_name":"SLICE_2/B0" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_3/S1", "phy_name":"SLICE_2/F1" }, "arrive":4.009, "delay":0.439 }, { "type":"net_delay", "net": { "log_name":"CNT2_7__N_3[2]", "phy_name":"CNT2_7__N_3[2]" }, "arrive":4.009, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":4.009, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ CNT2_e3_e3_e3_20__i1.ff_inst/CLK->CNT2_e3_e3_e3_20__i1.ff_inst/Q SLICE_R3C67B REG_DEL 0.304 3.274 2 CNT2[1] NET DELAY 0.296 3.570 2 CNT2_e3_e3_e3_20_add_4_3/B0->CNT2_e3_e3_e3_20_add_4_3/S1 SLICE_R3C67B CTOF_DEL 0.439 4.009 1 CNT2_7__N_3[2] NET DELAY 0.000 4.009 1 CNT2_e3_e3_e3_20__i2.ff_inst/DF ENDPOINT 0.000 4.009 1 Destination Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i1.ff_inst/CLK", "phy_name":"SLICE_2/CLK" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":40.000, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":40.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":41.366, "delay":1.366 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":41.870, "delay":0.504 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":41.870, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":42.912, "delay":1.042 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":42.912, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ CONSTRAINT 0.000 40.000 1 Clk top CLOCK LATENCY 0.000 40.000 1 Clk NET DELAY 0.000 40.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.366 41.366 3 Clk_c NET DELAY 0.504 41.870 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 41.870 13 clk2 NET DELAY 1.042 42.912 13 {CNT2_e3_e3_e3_20__i1.ff_inst/CLK CNT2_e3_e3_e3_20__i2.ff_inst/CLK} CLOCK PIN 0.000 42.912 1 Uncertainty -(0.000) 42.912 Common Path Skew 0.058 42.970 Setup time -(-0.059) 43.029 ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Required Time 43.029 Arrival Time -(4.009) ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Path Slack (Passed) 39.020 ++++ Path 34 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Path Begin : CNT2_e3_e3_e3_20__i2.ff_inst/Q (SLICE_R3C67B) Path End : CNT2_e3_e3_e3_20__i3.ff_inst/DF (SLICE_R3C67C) Source Clock : CLK2 (R) Destination Clock: CLK2 (R) Logic Level : 3 Delay Ratio : 15.8% (route), 84.2% (logic) Clock Skew : -0.058 ns Setup Constraint : 40.000 ns Common Path Skew : 0.053 ns Path Slack : 39.066 ns (Passed) Source Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i1.ff_inst/CLK", "phy_name":"SLICE_2/CLK" }, "path_sections":[ { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":0.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":1.366, "delay":1.366 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":1.883, "delay":0.517 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":1.883, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":2.970, "delay":1.087 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.970, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Clk top CLOCK LATENCY 0.000 0.000 1 Clk NET DELAY 0.000 0.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.366 1.366 3 Clk_c NET DELAY 0.517 1.883 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 1.883 13 clk2 NET DELAY 1.087 2.970 13 {CNT2_e3_e3_e3_20__i1.ff_inst/CLK CNT2_e3_e3_e3_20__i2.ff_inst/CLK} CLOCK PIN 0.000 2.970 1 Data Path { "path_begin": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i2.ff_inst/Q", "phy_name":"SLICE_2/Q1" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i3.ff_inst/DF", "phy_name":"SLICE_0/DI0" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20__i2.ff_inst/CLK", "phy_name":"SLICE_2/CLK" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20__i2.ff_inst/Q", "phy_name":"SLICE_2/Q1" }, "arrive":3.277, "delay":0.307 }, { "type":"net_delay", "net": { "log_name":"CNT2[2]", "phy_name":"CNT2[2]" }, "arrive":3.433, "delay":0.156 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_3/B1", "phy_name":"SLICE_2/B1" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_3/COUT", "phy_name":"SLICE_2/FCO" }, "arrive":3.749, "delay":0.316 }, { "type":"net_delay", "net": { "log_name":"n135", "phy_name":"n135" }, "arrive":3.749, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_5/CIN", "phy_name":"SLICE_0/FCI" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_5/S0", "phy_name":"SLICE_0/F0" }, "arrive":3.957, "delay":0.208 }, { "type":"net_delay", "net": { "log_name":"CNT2_7__N_3[3]", "phy_name":"CNT2_7__N_3[3]" }, "arrive":3.957, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":3.957, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ CNT2_e3_e3_e3_20__i2.ff_inst/CLK->CNT2_e3_e3_e3_20__i2.ff_inst/Q SLICE_R3C67B REG_DEL 0.307 3.277 2 CNT2[2] NET DELAY 0.156 3.433 2 CNT2_e3_e3_e3_20_add_4_3/B1->CNT2_e3_e3_e3_20_add_4_3/COUT SLICE_R3C67B C1TOFCO_DEL 0.316 3.749 1 n135 NET DELAY 0.000 3.749 1 CNT2_e3_e3_e3_20_add_4_5/CIN->CNT2_e3_e3_e3_20_add_4_5/S0 SLICE_R3C67C FCITOF0_DEL 0.208 3.957 1 CNT2_7__N_3[3] NET DELAY 0.000 3.957 1 CNT2_e3_e3_e3_20__i3.ff_inst/DF ENDPOINT 0.000 3.957 1 Destination Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i3.ff_inst/CLK", "phy_name":"SLICE_0/CLK" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":40.000, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":40.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":41.366, "delay":1.366 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":41.870, "delay":0.504 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":41.870, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":42.912, "delay":1.042 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":42.912, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ CONSTRAINT 0.000 40.000 1 Clk top CLOCK LATENCY 0.000 40.000 1 Clk NET DELAY 0.000 40.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.366 41.366 3 Clk_c NET DELAY 0.504 41.870 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 41.870 13 clk2 NET DELAY 1.042 42.912 13 {CNT2_e3_e3_e3_20__i3.ff_inst/CLK CNT2_e3_e3_e3_20__i4.ff_inst/CLK} CLOCK PIN 0.000 42.912 1 Uncertainty -(0.000) 42.912 Common Path Skew 0.053 42.965 Setup time -(-0.058) 43.023 ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Required Time 43.023 Arrival Time -(3.957) ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Path Slack (Passed) 39.066 ++++ Path 35 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Path Begin : CNT2_e3_e3_e3_20__i5.ff_inst/Q (SLICE_R3C67D) Path End : CNT2_e3_e3_e3_20__i7.ff_inst/DF (SLICE_R3C68A) Source Clock : CLK2 (R) Destination Clock: CLK2 (R) Logic Level : 3 Delay Ratio : 16.4% (route), 83.6% (logic) Clock Skew : -0.058 ns Setup Constraint : 40.000 ns Common Path Skew : 0.053 ns Path Slack : 39.069 ns (Passed) Source Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i5.ff_inst/CLK", "phy_name":"SLICE_3/CLK" }, "path_sections":[ { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":0.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":1.366, "delay":1.366 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":1.883, "delay":0.517 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":1.883, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":2.970, "delay":1.087 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.970, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Clk top CLOCK LATENCY 0.000 0.000 1 Clk NET DELAY 0.000 0.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.366 1.366 3 Clk_c NET DELAY 0.517 1.883 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 1.883 13 clk2 NET DELAY 1.087 2.970 13 {CNT2_e3_e3_e3_20__i5.ff_inst/CLK CNT2_e3_e3_e3_20__i6.ff_inst/CLK} CLOCK PIN 0.000 2.970 1 Data Path { "path_begin": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i5.ff_inst/Q", "phy_name":"SLICE_3/Q0" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i7.ff_inst/DF", "phy_name":"SLICE_4/DI0" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20__i5.ff_inst/CLK", "phy_name":"SLICE_3/CLK" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20__i5.ff_inst/Q", "phy_name":"SLICE_3/Q0" }, "arrive":3.274, "delay":0.304 }, { "type":"net_delay", "net": { "log_name":"CNT2[5]", "phy_name":"CNT2[5]" }, "arrive":3.430, "delay":0.156 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_7/B0", "phy_name":"SLICE_3/B0" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_7/COUT", "phy_name":"SLICE_3/FCO" }, "arrive":3.746, "delay":0.285 }, { "type":"net_delay", "net": { "log_name":"n137", "phy_name":"n137" }, "arrive":3.746, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_9/CIN", "phy_name":"SLICE_4/FCI" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_9/S0", "phy_name":"SLICE_4/F0" }, "arrive":3.954, "delay":0.208 }, { "type":"net_delay", "net": { "log_name":"CNT2_7__N_3[7]", "phy_name":"CNT2_7__N_3[7]" }, "arrive":3.954, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":3.954, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ CNT2_e3_e3_e3_20__i5.ff_inst/CLK->CNT2_e3_e3_e3_20__i5.ff_inst/Q SLICE_R3C67D REG_DEL 0.304 3.274 2 CNT2[5] NET DELAY 0.156 3.430 2 CNT2_e3_e3_e3_20_add_4_7/B0->CNT2_e3_e3_e3_20_add_4_7/COUT SLICE_R3C67D C0TOFCO_DEL 0.285 3.746 1 n137 NET DELAY 0.000 3.746 1 CNT2_e3_e3_e3_20_add_4_9/CIN->CNT2_e3_e3_e3_20_add_4_9/S0 SLICE_R3C68A FCITOF0_DEL 0.208 3.954 1 CNT2_7__N_3[7] NET DELAY 0.000 3.954 1 CNT2_e3_e3_e3_20__i7.ff_inst/DF ENDPOINT 0.000 3.954 1 Destination Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i7.ff_inst/CLK", "phy_name":"SLICE_4/CLK" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":40.000, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":40.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":41.366, "delay":1.366 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":41.870, "delay":0.504 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":41.870, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":42.912, "delay":1.042 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":42.912, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ CONSTRAINT 0.000 40.000 1 Clk top CLOCK LATENCY 0.000 40.000 1 Clk NET DELAY 0.000 40.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.366 41.366 3 Clk_c NET DELAY 0.504 41.870 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 41.870 13 clk2 NET DELAY 1.042 42.912 13 CNT2_e3_e3_e3_20__i7.ff_inst/CLK CLOCK PIN 0.000 42.912 1 Uncertainty -(0.000) 42.912 Common Path Skew 0.053 42.965 Setup time -(-0.058) 43.023 ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Required Time 43.023 Arrival Time -(3.954) ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Path Slack (Passed) 39.069 ++++ Path 36 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Path Begin : CNT2_e3_e3_e3_20__i6.ff_inst/Q (SLICE_R3C67D) Path End : CNT2_e3_e3_e3_20__i7.ff_inst/DF (SLICE_R3C68A) Source Clock : CLK2 (R) Destination Clock: CLK2 (R) Logic Level : 3 Delay Ratio : 26.3% (route), 73.7% (logic) Clock Skew : -0.058 ns Setup Constraint : 40.000 ns Common Path Skew : 0.053 ns Path Slack : 38.936 ns (Passed) Source Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i5.ff_inst/CLK", "phy_name":"SLICE_3/CLK" }, "path_sections":[ { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":0.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":1.366, "delay":1.366 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":1.883, "delay":0.517 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":1.883, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":2.970, "delay":1.087 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.970, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Clk top CLOCK LATENCY 0.000 0.000 1 Clk NET DELAY 0.000 0.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.366 1.366 3 Clk_c NET DELAY 0.517 1.883 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 1.883 13 clk2 NET DELAY 1.087 2.970 13 {CNT2_e3_e3_e3_20__i5.ff_inst/CLK CNT2_e3_e3_e3_20__i6.ff_inst/CLK} CLOCK PIN 0.000 2.970 1 Data Path { "path_begin": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i6.ff_inst/Q", "phy_name":"SLICE_3/Q1" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i7.ff_inst/DF", "phy_name":"SLICE_4/DI0" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20__i6.ff_inst/CLK", "phy_name":"SLICE_3/CLK" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20__i6.ff_inst/Q", "phy_name":"SLICE_3/Q1" }, "arrive":3.277, "delay":0.307 }, { "type":"net_delay", "net": { "log_name":"CNT2[6]", "phy_name":"CNT2[6]" }, "arrive":3.563, "delay":0.286 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_7/B1", "phy_name":"SLICE_3/B1" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_7/COUT", "phy_name":"SLICE_3/FCO" }, "arrive":3.879, "delay":0.285 }, { "type":"net_delay", "net": { "log_name":"n137", "phy_name":"n137" }, "arrive":3.879, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_9/CIN", "phy_name":"SLICE_4/FCI" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_9/S0", "phy_name":"SLICE_4/F0" }, "arrive":4.087, "delay":0.208 }, { "type":"net_delay", "net": { "log_name":"CNT2_7__N_3[7]", "phy_name":"CNT2_7__N_3[7]" }, "arrive":4.087, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":4.087, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ CNT2_e3_e3_e3_20__i6.ff_inst/CLK->CNT2_e3_e3_e3_20__i6.ff_inst/Q SLICE_R3C67D REG_DEL 0.307 3.277 2 CNT2[6] NET DELAY 0.286 3.563 2 CNT2_e3_e3_e3_20_add_4_7/B1->CNT2_e3_e3_e3_20_add_4_7/COUT SLICE_R3C67D C1TOFCO_DEL 0.285 3.879 1 n137 NET DELAY 0.000 3.879 1 CNT2_e3_e3_e3_20_add_4_9/CIN->CNT2_e3_e3_e3_20_add_4_9/S0 SLICE_R3C68A FCITOF0_DEL 0.208 4.087 1 CNT2_7__N_3[7] NET DELAY 0.000 4.087 1 CNT2_e3_e3_e3_20__i7.ff_inst/DF ENDPOINT 0.000 4.087 1 Destination Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i7.ff_inst/CLK", "phy_name":"SLICE_4/CLK" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":40.000, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":40.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":41.366, "delay":1.366 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":41.870, "delay":0.504 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":41.870, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":42.912, "delay":1.042 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":42.912, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ CONSTRAINT 0.000 40.000 1 Clk top CLOCK LATENCY 0.000 40.000 1 Clk NET DELAY 0.000 40.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.366 41.366 3 Clk_c NET DELAY 0.504 41.870 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 41.870 13 clk2 NET DELAY 1.042 42.912 13 CNT2_e3_e3_e3_20__i7.ff_inst/CLK CLOCK PIN 0.000 42.912 1 Uncertainty -(0.000) 42.912 Common Path Skew 0.053 42.965 Setup time -(-0.058) 43.023 ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Required Time 43.023 Arrival Time -(4.087) ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Path Slack (Passed) 38.936 ++++ Path 37 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Path Begin : CNT2_e3_e3_e3_20__i0.ff_inst/Q (SLICE_R3C67A) Path End : CNT2_e3_e3_e3_20__i1.ff_inst/DF (SLICE_R3C67B) Source Clock : CLK2 (R) Destination Clock: CLK2 (R) Logic Level : 3 Delay Ratio : 15.8% (route), 84.2% (logic) Clock Skew : -0.058 ns Setup Constraint : 40.000 ns Common Path Skew : 0.058 ns Path Slack : 39.071 ns (Passed) Source Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i0.ff_inst/CLK", "phy_name":"SLICE_1/CLK" }, "path_sections":[ { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":0.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":1.366, "delay":1.366 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":1.883, "delay":0.517 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":1.883, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":2.970, "delay":1.087 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.970, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Clk top CLOCK LATENCY 0.000 0.000 1 Clk NET DELAY 0.000 0.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.366 1.366 3 Clk_c NET DELAY 0.517 1.883 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 1.883 13 clk2 NET DELAY 1.087 2.970 13 CNT2_e3_e3_e3_20__i0.ff_inst/CLK CLOCK PIN 0.000 2.970 1 Data Path { "path_begin": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i0.ff_inst/Q", "phy_name":"SLICE_1/Q1" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i1.ff_inst/DF", "phy_name":"SLICE_2/DI0" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20__i0.ff_inst/CLK", "phy_name":"SLICE_1/CLK" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20__i0.ff_inst/Q", "phy_name":"SLICE_1/Q1" }, "arrive":3.277, "delay":0.307 }, { "type":"net_delay", "net": { "log_name":"CNT2[0]", "phy_name":"CNT2[0]" }, "arrive":3.433, "delay":0.156 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_cin/B1", "phy_name":"SLICE_1/B1" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_cin/COUT", "phy_name":"SLICE_1/FCO" }, "arrive":3.749, "delay":0.316 }, { "type":"net_delay", "net": { "log_name":"n134", "phy_name":"n134" }, "arrive":3.749, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_3/CIN", "phy_name":"SLICE_2/FCI" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_3/S0", "phy_name":"SLICE_2/F0" }, "arrive":3.957, "delay":0.208 }, { "type":"net_delay", "net": { "log_name":"CNT2_7__N_3[1]", "phy_name":"CNT2_7__N_3[1]" }, "arrive":3.957, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":3.957, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ CNT2_e3_e3_e3_20__i0.ff_inst/CLK->CNT2_e3_e3_e3_20__i0.ff_inst/Q SLICE_R3C67A REG_DEL 0.307 3.277 2 CNT2[0] NET DELAY 0.156 3.433 2 CNT2_e3_e3_e3_20_add_4_cin/B1->CNT2_e3_e3_e3_20_add_4_cin/COUT SLICE_R3C67A C1TOFCO_DEL 0.316 3.749 1 n134 NET DELAY 0.000 3.749 1 CNT2_e3_e3_e3_20_add_4_3/CIN->CNT2_e3_e3_e3_20_add_4_3/S0 SLICE_R3C67B FCITOF0_DEL 0.208 3.957 1 CNT2_7__N_3[1] NET DELAY 0.000 3.957 1 CNT2_e3_e3_e3_20__i1.ff_inst/DF ENDPOINT 0.000 3.957 1 Destination Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i1.ff_inst/CLK", "phy_name":"SLICE_2/CLK" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":40.000, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":40.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":41.366, "delay":1.366 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":41.870, "delay":0.504 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":41.870, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":42.912, "delay":1.042 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":42.912, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ CONSTRAINT 0.000 40.000 1 Clk top CLOCK LATENCY 0.000 40.000 1 Clk NET DELAY 0.000 40.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.366 41.366 3 Clk_c NET DELAY 0.504 41.870 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 41.870 13 clk2 NET DELAY 1.042 42.912 13 {CNT2_e3_e3_e3_20__i1.ff_inst/CLK CNT2_e3_e3_e3_20__i2.ff_inst/CLK} CLOCK PIN 0.000 42.912 1 Uncertainty -(0.000) 42.912 Common Path Skew 0.058 42.970 Setup time -(-0.058) 43.028 ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Required Time 43.028 Arrival Time -(3.957) ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Path Slack (Passed) 39.071 ++++ Path 38 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Path Begin : CNT2_e3_e3_e3_20__i3.ff_inst/Q (SLICE_R3C67C) Path End : CNT2_e3_e3_e3_20__i5.ff_inst/DF (SLICE_R3C67D) Source Clock : CLK2 (R) Destination Clock: CLK2 (R) Logic Level : 3 Delay Ratio : 16.4% (route), 83.6% (logic) Clock Skew : -0.058 ns Setup Constraint : 40.000 ns Common Path Skew : 0.058 ns Path Slack : 39.074 ns (Passed) Source Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i3.ff_inst/CLK", "phy_name":"SLICE_0/CLK" }, "path_sections":[ { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":0.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":1.366, "delay":1.366 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":1.883, "delay":0.517 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":1.883, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":2.970, "delay":1.087 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.970, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Clk top CLOCK LATENCY 0.000 0.000 1 Clk NET DELAY 0.000 0.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.366 1.366 3 Clk_c NET DELAY 0.517 1.883 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 1.883 13 clk2 NET DELAY 1.087 2.970 13 {CNT2_e3_e3_e3_20__i3.ff_inst/CLK CNT2_e3_e3_e3_20__i4.ff_inst/CLK} CLOCK PIN 0.000 2.970 1 Data Path { "path_begin": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i3.ff_inst/Q", "phy_name":"SLICE_0/Q0" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i5.ff_inst/DF", "phy_name":"SLICE_3/DI0" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20__i3.ff_inst/CLK", "phy_name":"SLICE_0/CLK" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20__i3.ff_inst/Q", "phy_name":"SLICE_0/Q0" }, "arrive":3.274, "delay":0.304 }, { "type":"net_delay", "net": { "log_name":"CNT2[3]", "phy_name":"CNT2[3]" }, "arrive":3.430, "delay":0.156 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_5/B0", "phy_name":"SLICE_0/B0" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_5/COUT", "phy_name":"SLICE_0/FCO" }, "arrive":3.741, "delay":0.285 }, { "type":"net_delay", "net": { "log_name":"n136", "phy_name":"n136" }, "arrive":3.741, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_7/CIN", "phy_name":"SLICE_3/FCI" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_7/S0", "phy_name":"SLICE_3/F0" }, "arrive":3.949, "delay":0.208 }, { "type":"net_delay", "net": { "log_name":"CNT2_7__N_3[5]", "phy_name":"CNT2_7__N_3[5]" }, "arrive":3.949, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":3.949, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ CNT2_e3_e3_e3_20__i3.ff_inst/CLK->CNT2_e3_e3_e3_20__i3.ff_inst/Q SLICE_R3C67C REG_DEL 0.304 3.274 2 CNT2[3] NET DELAY 0.156 3.430 2 CNT2_e3_e3_e3_20_add_4_5/B0->CNT2_e3_e3_e3_20_add_4_5/COUT SLICE_R3C67C C0TOFCO_DEL 0.285 3.741 1 n136 NET DELAY 0.000 3.741 1 CNT2_e3_e3_e3_20_add_4_7/CIN->CNT2_e3_e3_e3_20_add_4_7/S0 SLICE_R3C67D FCITOF0_DEL 0.208 3.949 1 CNT2_7__N_3[5] NET DELAY 0.000 3.949 1 CNT2_e3_e3_e3_20__i5.ff_inst/DF ENDPOINT 0.000 3.949 1 Destination Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i5.ff_inst/CLK", "phy_name":"SLICE_3/CLK" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":40.000, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":40.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":41.366, "delay":1.366 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":41.870, "delay":0.504 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":41.870, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":42.912, "delay":1.042 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":42.912, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ CONSTRAINT 0.000 40.000 1 Clk top CLOCK LATENCY 0.000 40.000 1 Clk NET DELAY 0.000 40.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.366 41.366 3 Clk_c NET DELAY 0.504 41.870 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 41.870 13 clk2 NET DELAY 1.042 42.912 13 {CNT2_e3_e3_e3_20__i5.ff_inst/CLK CNT2_e3_e3_e3_20__i6.ff_inst/CLK} CLOCK PIN 0.000 42.912 1 Uncertainty -(0.000) 42.912 Common Path Skew 0.058 42.970 Setup time -(-0.058) 43.028 ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Required Time 43.028 Arrival Time -(3.954) ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Path Slack (Passed) 39.074 ++++ Path 39 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Path Begin : CNT2_e3_e3_e3_20__i4.ff_inst/Q (SLICE_R3C67C) Path End : CNT2_e3_e3_e3_20__i5.ff_inst/DF (SLICE_R3C67D) Source Clock : CLK2 (R) Destination Clock: CLK2 (R) Logic Level : 3 Delay Ratio : 22.6% (route), 77.4% (logic) Clock Skew : -0.058 ns Setup Constraint : 40.000 ns Common Path Skew : 0.058 ns Path Slack : 38.993 ns (Passed) Source Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i3.ff_inst/CLK", "phy_name":"SLICE_0/CLK" }, "path_sections":[ { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":0.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":1.366, "delay":1.366 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":1.883, "delay":0.517 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":1.883, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":2.970, "delay":1.087 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.970, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Clk top CLOCK LATENCY 0.000 0.000 1 Clk NET DELAY 0.000 0.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.366 1.366 3 Clk_c NET DELAY 0.517 1.883 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 1.883 13 clk2 NET DELAY 1.087 2.970 13 {CNT2_e3_e3_e3_20__i3.ff_inst/CLK CNT2_e3_e3_e3_20__i4.ff_inst/CLK} CLOCK PIN 0.000 2.970 1 Data Path { "path_begin": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i4.ff_inst/Q", "phy_name":"SLICE_0/Q1" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i5.ff_inst/DF", "phy_name":"SLICE_3/DI0" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20__i4.ff_inst/CLK", "phy_name":"SLICE_0/CLK" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20__i4.ff_inst/Q", "phy_name":"SLICE_0/Q1" }, "arrive":3.277, "delay":0.307 }, { "type":"net_delay", "net": { "log_name":"CNT2[4]", "phy_name":"CNT2[4]" }, "arrive":3.511, "delay":0.234 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_5/B1", "phy_name":"SLICE_0/B1" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_5/COUT", "phy_name":"SLICE_0/FCO" }, "arrive":3.822, "delay":0.285 }, { "type":"net_delay", "net": { "log_name":"n136", "phy_name":"n136" }, "arrive":3.822, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_7/CIN", "phy_name":"SLICE_3/FCI" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_7/S0", "phy_name":"SLICE_3/F0" }, "arrive":4.030, "delay":0.208 }, { "type":"net_delay", "net": { "log_name":"CNT2_7__N_3[5]", "phy_name":"CNT2_7__N_3[5]" }, "arrive":4.030, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":4.030, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ CNT2_e3_e3_e3_20__i4.ff_inst/CLK->CNT2_e3_e3_e3_20__i4.ff_inst/Q SLICE_R3C67C REG_DEL 0.307 3.277 2 CNT2[4] NET DELAY 0.234 3.511 2 CNT2_e3_e3_e3_20_add_4_5/B1->CNT2_e3_e3_e3_20_add_4_5/COUT SLICE_R3C67C C1TOFCO_DEL 0.285 3.822 1 n136 NET DELAY 0.000 3.822 1 CNT2_e3_e3_e3_20_add_4_7/CIN->CNT2_e3_e3_e3_20_add_4_7/S0 SLICE_R3C67D FCITOF0_DEL 0.208 4.030 1 CNT2_7__N_3[5] NET DELAY 0.000 4.030 1 CNT2_e3_e3_e3_20__i5.ff_inst/DF ENDPOINT 0.000 4.030 1 Destination Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i5.ff_inst/CLK", "phy_name":"SLICE_3/CLK" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":40.000, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":40.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":41.366, "delay":1.366 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":41.870, "delay":0.504 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":41.870, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":42.912, "delay":1.042 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":42.912, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ CONSTRAINT 0.000 40.000 1 Clk top CLOCK LATENCY 0.000 40.000 1 Clk NET DELAY 0.000 40.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.366 41.366 3 Clk_c NET DELAY 0.504 41.870 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 41.870 13 clk2 NET DELAY 1.042 42.912 13 {CNT2_e3_e3_e3_20__i5.ff_inst/CLK CNT2_e3_e3_e3_20__i6.ff_inst/CLK} CLOCK PIN 0.000 42.912 1 Uncertainty -(0.000) 42.912 Common Path Skew 0.058 42.970 Setup time -(-0.058) 43.028 ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Required Time 43.028 Arrival Time -(4.035) ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Path Slack (Passed) 38.993 ++++ Path 40 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Path Begin : CNT2_e3_e3_e3_20__i3.ff_inst/Q (SLICE_R3C67C) Path End : CNT2_e3_e3_e3_20__i4.ff_inst/DF (SLICE_R3C67C) Source Clock : CLK2 (R) Destination Clock: CLK2 (R) Logic Level : 2 Delay Ratio : 17.4% (route), 82.6% (logic) Clock Skew : -0.058 ns Setup Constraint : 40.000 ns Common Path Skew : 0.058 ns Path Slack : 39.160 ns (Passed) Source Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i3.ff_inst/CLK", "phy_name":"SLICE_0/CLK" }, "path_sections":[ { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":0.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":1.366, "delay":1.366 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":1.883, "delay":0.517 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":1.883, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":2.970, "delay":1.087 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.970, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Clk top CLOCK LATENCY 0.000 0.000 1 Clk NET DELAY 0.000 0.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.366 1.366 3 Clk_c NET DELAY 0.517 1.883 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 1.883 13 clk2 NET DELAY 1.087 2.970 13 {CNT2_e3_e3_e3_20__i3.ff_inst/CLK CNT2_e3_e3_e3_20__i4.ff_inst/CLK} CLOCK PIN 0.000 2.970 1 Data Path { "path_begin": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i3.ff_inst/Q", "phy_name":"SLICE_0/Q0" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i4.ff_inst/DF", "phy_name":"SLICE_0/DI1" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20__i3.ff_inst/CLK", "phy_name":"SLICE_0/CLK" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20__i3.ff_inst/Q", "phy_name":"SLICE_0/Q0" }, "arrive":3.274, "delay":0.304 }, { "type":"net_delay", "net": { "log_name":"CNT2[3]", "phy_name":"CNT2[3]" }, "arrive":3.430, "delay":0.156 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_5/B0", "phy_name":"SLICE_0/B0" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_5/S1", "phy_name":"SLICE_0/F1" }, "arrive":3.864, "delay":0.439 }, { "type":"net_delay", "net": { "log_name":"CNT2_7__N_3[4]", "phy_name":"CNT2_7__N_3[4]" }, "arrive":3.864, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":3.864, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ CNT2_e3_e3_e3_20__i3.ff_inst/CLK->CNT2_e3_e3_e3_20__i3.ff_inst/Q SLICE_R3C67C REG_DEL 0.304 3.274 2 CNT2[3] NET DELAY 0.156 3.430 2 CNT2_e3_e3_e3_20_add_4_5/B0->CNT2_e3_e3_e3_20_add_4_5/S1 SLICE_R3C67C CTOF_DEL 0.439 3.864 1 CNT2_7__N_3[4] NET DELAY 0.000 3.864 1 CNT2_e3_e3_e3_20__i4.ff_inst/DF ENDPOINT 0.000 3.864 1 Destination Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i3.ff_inst/CLK", "phy_name":"SLICE_0/CLK" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":40.000, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":40.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":41.366, "delay":1.366 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":41.870, "delay":0.504 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":41.870, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":42.912, "delay":1.042 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":42.912, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ CONSTRAINT 0.000 40.000 1 Clk top CLOCK LATENCY 0.000 40.000 1 Clk NET DELAY 0.000 40.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.366 41.366 3 Clk_c NET DELAY 0.504 41.870 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 41.870 13 clk2 NET DELAY 1.042 42.912 13 {CNT2_e3_e3_e3_20__i3.ff_inst/CLK CNT2_e3_e3_e3_20__i4.ff_inst/CLK} CLOCK PIN 0.000 42.912 1 Uncertainty -(0.000) 42.912 Common Path Skew 0.058 42.970 Setup time -(-0.059) 43.029 ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Required Time 43.029 Arrival Time -(3.869) ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Path Slack (Passed) 39.160 ++++ Path 41 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Path Begin : CNT2_e3_e3_e3_20__i5.ff_inst/Q (SLICE_R3C67D) Path End : CNT2_e3_e3_e3_20__i6.ff_inst/DF (SLICE_R3C67D) Source Clock : CLK2 (R) Destination Clock: CLK2 (R) Logic Level : 2 Delay Ratio : 17.4% (route), 82.6% (logic) Clock Skew : -0.058 ns Setup Constraint : 40.000 ns Common Path Skew : 0.058 ns Path Slack : 39.160 ns (Passed) Source Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i5.ff_inst/CLK", "phy_name":"SLICE_3/CLK" }, "path_sections":[ { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":0.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":1.366, "delay":1.366 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":1.883, "delay":0.517 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":1.883, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":2.970, "delay":1.087 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.970, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Clk top CLOCK LATENCY 0.000 0.000 1 Clk NET DELAY 0.000 0.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.366 1.366 3 Clk_c NET DELAY 0.517 1.883 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 1.883 13 clk2 NET DELAY 1.087 2.970 13 {CNT2_e3_e3_e3_20__i5.ff_inst/CLK CNT2_e3_e3_e3_20__i6.ff_inst/CLK} CLOCK PIN 0.000 2.970 1 Data Path { "path_begin": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i5.ff_inst/Q", "phy_name":"SLICE_3/Q0" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i6.ff_inst/DF", "phy_name":"SLICE_3/DI1" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20__i5.ff_inst/CLK", "phy_name":"SLICE_3/CLK" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20__i5.ff_inst/Q", "phy_name":"SLICE_3/Q0" }, "arrive":3.274, "delay":0.304 }, { "type":"net_delay", "net": { "log_name":"CNT2[5]", "phy_name":"CNT2[5]" }, "arrive":3.430, "delay":0.156 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_7/B0", "phy_name":"SLICE_3/B0" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_7/S1", "phy_name":"SLICE_3/F1" }, "arrive":3.864, "delay":0.439 }, { "type":"net_delay", "net": { "log_name":"CNT2_7__N_3[6]", "phy_name":"CNT2_7__N_3[6]" }, "arrive":3.864, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":3.864, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ CNT2_e3_e3_e3_20__i5.ff_inst/CLK->CNT2_e3_e3_e3_20__i5.ff_inst/Q SLICE_R3C67D REG_DEL 0.304 3.274 2 CNT2[5] NET DELAY 0.156 3.430 2 CNT2_e3_e3_e3_20_add_4_7/B0->CNT2_e3_e3_e3_20_add_4_7/S1 SLICE_R3C67D CTOF_DEL 0.439 3.864 1 CNT2_7__N_3[6] NET DELAY 0.000 3.864 1 CNT2_e3_e3_e3_20__i6.ff_inst/DF ENDPOINT 0.000 3.864 1 Destination Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i5.ff_inst/CLK", "phy_name":"SLICE_3/CLK" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":40.000, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":40.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":41.366, "delay":1.366 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":41.870, "delay":0.504 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":41.870, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":42.912, "delay":1.042 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":42.912, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ CONSTRAINT 0.000 40.000 1 Clk top CLOCK LATENCY 0.000 40.000 1 Clk NET DELAY 0.000 40.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.366 41.366 3 Clk_c NET DELAY 0.504 41.870 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 41.870 13 clk2 NET DELAY 1.042 42.912 13 {CNT2_e3_e3_e3_20__i5.ff_inst/CLK CNT2_e3_e3_e3_20__i6.ff_inst/CLK} CLOCK PIN 0.000 42.912 1 Uncertainty -(0.000) 42.912 Common Path Skew 0.058 42.970 Setup time -(-0.059) 43.029 ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Required Time 43.029 Arrival Time -(3.869) ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Path Slack (Passed) 39.160 ++++ Path 42 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Path Begin : CNT2_e3_e3_e3_20__i7.ff_inst/Q (SLICE_R3C68A) Path End : CNT2_e3_e3_e3_20__i7.ff_inst/DF (SLICE_R3C68A) Source Clock : CLK2 (R) Destination Clock: CLK2 (R) Logic Level : 2 Delay Ratio : 36.4% (route), 63.6% (logic) Clock Skew : -0.058 ns Setup Constraint : 40.000 ns Common Path Skew : 0.058 ns Path Slack : 39.245 ns (Passed) Source Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i7.ff_inst/CLK", "phy_name":"SLICE_4/CLK" }, "path_sections":[ { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":0.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":1.366, "delay":1.366 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":1.883, "delay":0.517 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":1.883, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":2.970, "delay":1.087 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.970, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Clk top CLOCK LATENCY 0.000 0.000 1 Clk NET DELAY 0.000 0.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.366 1.366 3 Clk_c NET DELAY 0.517 1.883 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 1.883 13 clk2 NET DELAY 1.087 2.970 13 CNT2_e3_e3_e3_20__i7.ff_inst/CLK CLOCK PIN 0.000 2.970 1 Data Path { "path_begin": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i7.ff_inst/Q", "phy_name":"SLICE_4/Q0" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i7.ff_inst/DF", "phy_name":"SLICE_4/DI0" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20__i7.ff_inst/CLK", "phy_name":"SLICE_4/CLK" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20__i7.ff_inst/Q", "phy_name":"SLICE_4/Q0" }, "arrive":3.274, "delay":0.304 }, { "type":"net_delay", "net": { "log_name":"CNT2[7]", "phy_name":"CNT2[7]" }, "arrive":3.570, "delay":0.296 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_9/B0", "phy_name":"SLICE_4/B0" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_9/S0", "phy_name":"SLICE_4/F0" }, "arrive":3.778, "delay":0.213 }, { "type":"net_delay", "net": { "log_name":"CNT2_7__N_3[7]", "phy_name":"CNT2_7__N_3[7]" }, "arrive":3.778, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":3.778, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ CNT2_e3_e3_e3_20__i7.ff_inst/CLK->CNT2_e3_e3_e3_20__i7.ff_inst/Q SLICE_R3C68A REG_DEL 0.304 3.274 2 CNT2[7] NET DELAY 0.296 3.570 2 CNT2_e3_e3_e3_20_add_4_9/B0->CNT2_e3_e3_e3_20_add_4_9/S0 SLICE_R3C68A CTOF_DEL 0.213 3.778 1 CNT2_7__N_3[7] NET DELAY 0.000 3.778 1 CNT2_e3_e3_e3_20__i7.ff_inst/DF ENDPOINT 0.000 3.778 1 Destination Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i7.ff_inst/CLK", "phy_name":"SLICE_4/CLK" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":40.000, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":40.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":41.366, "delay":1.366 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":41.870, "delay":0.504 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":41.870, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":42.912, "delay":1.042 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":42.912, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ CONSTRAINT 0.000 40.000 1 Clk top CLOCK LATENCY 0.000 40.000 1 Clk NET DELAY 0.000 40.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.366 41.366 3 Clk_c NET DELAY 0.504 41.870 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 41.870 13 clk2 NET DELAY 1.042 42.912 13 CNT2_e3_e3_e3_20__i7.ff_inst/CLK CLOCK PIN 0.000 42.912 1 Uncertainty -(0.000) 42.912 Common Path Skew 0.058 42.970 Setup time -(-0.058) 43.028 ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Required Time 43.028 Arrival Time -(3.783) ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Path Slack (Passed) 39.245 ++++ Path 43 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Path Begin : CNT2_e3_e3_e3_20__i1.ff_inst/Q (SLICE_R3C67B) Path End : CNT2_e3_e3_e3_20__i1.ff_inst/DF (SLICE_R3C67B) Source Clock : CLK2 (R) Destination Clock: CLK2 (R) Logic Level : 2 Delay Ratio : 36.4% (route), 63.6% (logic) Clock Skew : -0.058 ns Setup Constraint : 40.000 ns Common Path Skew : 0.058 ns Path Slack : 39.245 ns (Passed) Source Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i1.ff_inst/CLK", "phy_name":"SLICE_2/CLK" }, "path_sections":[ { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":0.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":1.366, "delay":1.366 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":1.883, "delay":0.517 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":1.883, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":2.970, "delay":1.087 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.970, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Clk top CLOCK LATENCY 0.000 0.000 1 Clk NET DELAY 0.000 0.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.366 1.366 3 Clk_c NET DELAY 0.517 1.883 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 1.883 13 clk2 NET DELAY 1.087 2.970 13 {CNT2_e3_e3_e3_20__i1.ff_inst/CLK CNT2_e3_e3_e3_20__i2.ff_inst/CLK} CLOCK PIN 0.000 2.970 1 Data Path { "path_begin": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i1.ff_inst/Q", "phy_name":"SLICE_2/Q0" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i1.ff_inst/DF", "phy_name":"SLICE_2/DI0" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20__i1.ff_inst/CLK", "phy_name":"SLICE_2/CLK" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20__i1.ff_inst/Q", "phy_name":"SLICE_2/Q0" }, "arrive":3.274, "delay":0.304 }, { "type":"net_delay", "net": { "log_name":"CNT2[1]", "phy_name":"CNT2[1]" }, "arrive":3.570, "delay":0.296 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_3/B0", "phy_name":"SLICE_2/B0" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_3/S0", "phy_name":"SLICE_2/F0" }, "arrive":3.783, "delay":0.213 }, { "type":"net_delay", "net": { "log_name":"CNT2_7__N_3[1]", "phy_name":"CNT2_7__N_3[1]" }, "arrive":3.783, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":3.783, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ CNT2_e3_e3_e3_20__i1.ff_inst/CLK->CNT2_e3_e3_e3_20__i1.ff_inst/Q SLICE_R3C67B REG_DEL 0.304 3.274 2 CNT2[1] NET DELAY 0.296 3.570 2 CNT2_e3_e3_e3_20_add_4_3/B0->CNT2_e3_e3_e3_20_add_4_3/S0 SLICE_R3C67B CTOF_DEL 0.213 3.783 1 CNT2_7__N_3[1] NET DELAY 0.000 3.783 1 CNT2_e3_e3_e3_20__i1.ff_inst/DF ENDPOINT 0.000 3.783 1 Destination Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i1.ff_inst/CLK", "phy_name":"SLICE_2/CLK" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":40.000, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":40.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":41.366, "delay":1.366 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":41.870, "delay":0.504 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":41.870, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":42.912, "delay":1.042 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":42.912, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ CONSTRAINT 0.000 40.000 1 Clk top CLOCK LATENCY 0.000 40.000 1 Clk NET DELAY 0.000 40.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.366 41.366 3 Clk_c NET DELAY 0.504 41.870 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 41.870 13 clk2 NET DELAY 1.042 42.912 13 {CNT2_e3_e3_e3_20__i1.ff_inst/CLK CNT2_e3_e3_e3_20__i2.ff_inst/CLK} CLOCK PIN 0.000 42.912 1 Uncertainty -(0.000) 42.912 Common Path Skew 0.058 42.970 Setup time -(-0.058) 43.028 ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Required Time 43.028 Arrival Time -(3.783) ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Path Slack (Passed) 39.245 ++++ Path 44 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Path Begin : CNT2_e3_e3_e3_20__i6.ff_inst/Q (SLICE_R3C67D) Path End : CNT2_e3_e3_e3_20__i6.ff_inst/DF (SLICE_R3C67D) Source Clock : CLK2 (R) Destination Clock: CLK2 (R) Logic Level : 2 Delay Ratio : 35.5% (route), 64.5% (logic) Clock Skew : -0.058 ns Setup Constraint : 40.000 ns Common Path Skew : 0.058 ns Path Slack : 39.251 ns (Passed) Source Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i5.ff_inst/CLK", "phy_name":"SLICE_3/CLK" }, "path_sections":[ { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":0.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":1.366, "delay":1.366 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":1.883, "delay":0.517 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":1.883, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":2.970, "delay":1.087 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.970, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Clk top CLOCK LATENCY 0.000 0.000 1 Clk NET DELAY 0.000 0.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.366 1.366 3 Clk_c NET DELAY 0.517 1.883 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 1.883 13 clk2 NET DELAY 1.087 2.970 13 {CNT2_e3_e3_e3_20__i5.ff_inst/CLK CNT2_e3_e3_e3_20__i6.ff_inst/CLK} CLOCK PIN 0.000 2.970 1 Data Path { "path_begin": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i6.ff_inst/Q", "phy_name":"SLICE_3/Q1" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i6.ff_inst/DF", "phy_name":"SLICE_3/DI1" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20__i6.ff_inst/CLK", "phy_name":"SLICE_3/CLK" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20__i6.ff_inst/Q", "phy_name":"SLICE_3/Q1" }, "arrive":3.277, "delay":0.307 }, { "type":"net_delay", "net": { "log_name":"CNT2[6]", "phy_name":"CNT2[6]" }, "arrive":3.563, "delay":0.286 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_7/B1", "phy_name":"SLICE_3/B1" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_7/S1", "phy_name":"SLICE_3/F1" }, "arrive":3.771, "delay":0.213 }, { "type":"net_delay", "net": { "log_name":"CNT2_7__N_3[6]", "phy_name":"CNT2_7__N_3[6]" }, "arrive":3.771, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":3.771, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ CNT2_e3_e3_e3_20__i6.ff_inst/CLK->CNT2_e3_e3_e3_20__i6.ff_inst/Q SLICE_R3C67D REG_DEL 0.307 3.277 2 CNT2[6] NET DELAY 0.286 3.563 2 CNT2_e3_e3_e3_20_add_4_7/B1->CNT2_e3_e3_e3_20_add_4_7/S1 SLICE_R3C67D CTOF_DEL 0.213 3.771 1 CNT2_7__N_3[6] NET DELAY 0.000 3.771 1 CNT2_e3_e3_e3_20__i6.ff_inst/DF ENDPOINT 0.000 3.771 1 Destination Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i5.ff_inst/CLK", "phy_name":"SLICE_3/CLK" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":40.000, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":40.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":41.366, "delay":1.366 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":41.870, "delay":0.504 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":41.870, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":42.912, "delay":1.042 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":42.912, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ CONSTRAINT 0.000 40.000 1 Clk top CLOCK LATENCY 0.000 40.000 1 Clk NET DELAY 0.000 40.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.366 41.366 3 Clk_c NET DELAY 0.504 41.870 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 41.870 13 clk2 NET DELAY 1.042 42.912 13 {CNT2_e3_e3_e3_20__i5.ff_inst/CLK CNT2_e3_e3_e3_20__i6.ff_inst/CLK} CLOCK PIN 0.000 42.912 1 Uncertainty -(0.000) 42.912 Common Path Skew 0.058 42.970 Setup time -(-0.057) 43.027 ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Required Time 43.027 Arrival Time -(3.776) ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Path Slack (Passed) 39.251 ++++ Path 45 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Path Begin : CNT2_e3_e3_e3_20__i4.ff_inst/Q (SLICE_R3C67C) Path End : CNT2_e3_e3_e3_20__i4.ff_inst/DF (SLICE_R3C67C) Source Clock : CLK2 (R) Destination Clock: CLK2 (R) Logic Level : 2 Delay Ratio : 31.0% (route), 69.0% (logic) Clock Skew : -0.058 ns Setup Constraint : 40.000 ns Common Path Skew : 0.058 ns Path Slack : 39.303 ns (Passed) Source Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i3.ff_inst/CLK", "phy_name":"SLICE_0/CLK" }, "path_sections":[ { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":0.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":1.366, "delay":1.366 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":1.883, "delay":0.517 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":1.883, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":2.970, "delay":1.087 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.970, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Clk top CLOCK LATENCY 0.000 0.000 1 Clk NET DELAY 0.000 0.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.366 1.366 3 Clk_c NET DELAY 0.517 1.883 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 1.883 13 clk2 NET DELAY 1.087 2.970 13 {CNT2_e3_e3_e3_20__i3.ff_inst/CLK CNT2_e3_e3_e3_20__i4.ff_inst/CLK} CLOCK PIN 0.000 2.970 1 Data Path { "path_begin": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i4.ff_inst/Q", "phy_name":"SLICE_0/Q1" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i4.ff_inst/DF", "phy_name":"SLICE_0/DI1" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20__i4.ff_inst/CLK", "phy_name":"SLICE_0/CLK" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20__i4.ff_inst/Q", "phy_name":"SLICE_0/Q1" }, "arrive":3.277, "delay":0.307 }, { "type":"net_delay", "net": { "log_name":"CNT2[4]", "phy_name":"CNT2[4]" }, "arrive":3.511, "delay":0.234 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_5/B1", "phy_name":"SLICE_0/B1" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_5/S1", "phy_name":"SLICE_0/F1" }, "arrive":3.719, "delay":0.213 }, { "type":"net_delay", "net": { "log_name":"CNT2_7__N_3[4]", "phy_name":"CNT2_7__N_3[4]" }, "arrive":3.719, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":3.719, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ CNT2_e3_e3_e3_20__i4.ff_inst/CLK->CNT2_e3_e3_e3_20__i4.ff_inst/Q SLICE_R3C67C REG_DEL 0.307 3.277 2 CNT2[4] NET DELAY 0.234 3.511 2 CNT2_e3_e3_e3_20_add_4_5/B1->CNT2_e3_e3_e3_20_add_4_5/S1 SLICE_R3C67C CTOF_DEL 0.213 3.719 1 CNT2_7__N_3[4] NET DELAY 0.000 3.719 1 CNT2_e3_e3_e3_20__i4.ff_inst/DF ENDPOINT 0.000 3.719 1 Destination Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i3.ff_inst/CLK", "phy_name":"SLICE_0/CLK" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":40.000, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":40.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":41.366, "delay":1.366 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":41.870, "delay":0.504 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":41.870, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":42.912, "delay":1.042 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":42.912, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ CONSTRAINT 0.000 40.000 1 Clk top CLOCK LATENCY 0.000 40.000 1 Clk NET DELAY 0.000 40.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.366 41.366 3 Clk_c NET DELAY 0.504 41.870 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 41.870 13 clk2 NET DELAY 1.042 42.912 13 {CNT2_e3_e3_e3_20__i3.ff_inst/CLK CNT2_e3_e3_e3_20__i4.ff_inst/CLK} CLOCK PIN 0.000 42.912 1 Uncertainty -(0.000) 42.912 Common Path Skew 0.058 42.970 Setup time -(-0.057) 43.027 ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Required Time 43.027 Arrival Time -(3.724) ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Path Slack (Passed) 39.303 ++++ Path 46 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Path Begin : CNT2_e3_e3_e3_20__i2.ff_inst/Q (SLICE_R3C67B) Path End : CNT2_e3_e3_e3_20__i2.ff_inst/DF (SLICE_R3C67B) Source Clock : CLK2 (R) Destination Clock: CLK2 (R) Logic Level : 2 Delay Ratio : 23.1% (route), 76.9% (logic) Clock Skew : -0.058 ns Setup Constraint : 40.000 ns Common Path Skew : 0.058 ns Path Slack : 39.381 ns (Passed) Source Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i1.ff_inst/CLK", "phy_name":"SLICE_2/CLK" }, "path_sections":[ { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":0.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":1.366, "delay":1.366 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":1.883, "delay":0.517 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":1.883, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":2.970, "delay":1.087 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.970, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Clk top CLOCK LATENCY 0.000 0.000 1 Clk NET DELAY 0.000 0.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.366 1.366 3 Clk_c NET DELAY 0.517 1.883 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 1.883 13 clk2 NET DELAY 1.087 2.970 13 {CNT2_e3_e3_e3_20__i1.ff_inst/CLK CNT2_e3_e3_e3_20__i2.ff_inst/CLK} CLOCK PIN 0.000 2.970 1 Data Path { "path_begin": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i2.ff_inst/Q", "phy_name":"SLICE_2/Q1" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i2.ff_inst/DF", "phy_name":"SLICE_2/DI1" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20__i2.ff_inst/CLK", "phy_name":"SLICE_2/CLK" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20__i2.ff_inst/Q", "phy_name":"SLICE_2/Q1" }, "arrive":3.277, "delay":0.307 }, { "type":"net_delay", "net": { "log_name":"CNT2[2]", "phy_name":"CNT2[2]" }, "arrive":3.433, "delay":0.156 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_3/B1", "phy_name":"SLICE_2/B1" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_3/S1", "phy_name":"SLICE_2/F1" }, "arrive":3.646, "delay":0.213 }, { "type":"net_delay", "net": { "log_name":"CNT2_7__N_3[2]", "phy_name":"CNT2_7__N_3[2]" }, "arrive":3.646, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":3.646, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ CNT2_e3_e3_e3_20__i2.ff_inst/CLK->CNT2_e3_e3_e3_20__i2.ff_inst/Q SLICE_R3C67B REG_DEL 0.307 3.277 2 CNT2[2] NET DELAY 0.156 3.433 2 CNT2_e3_e3_e3_20_add_4_3/B1->CNT2_e3_e3_e3_20_add_4_3/S1 SLICE_R3C67B CTOF_DEL 0.213 3.646 1 CNT2_7__N_3[2] NET DELAY 0.000 3.646 1 CNT2_e3_e3_e3_20__i2.ff_inst/DF ENDPOINT 0.000 3.646 1 Destination Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i1.ff_inst/CLK", "phy_name":"SLICE_2/CLK" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":40.000, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":40.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":41.366, "delay":1.366 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":41.870, "delay":0.504 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":41.870, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":42.912, "delay":1.042 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":42.912, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ CONSTRAINT 0.000 40.000 1 Clk top CLOCK LATENCY 0.000 40.000 1 Clk NET DELAY 0.000 40.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.366 41.366 3 Clk_c NET DELAY 0.504 41.870 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 41.870 13 clk2 NET DELAY 1.042 42.912 13 {CNT2_e3_e3_e3_20__i1.ff_inst/CLK CNT2_e3_e3_e3_20__i2.ff_inst/CLK} CLOCK PIN 0.000 42.912 1 Uncertainty -(0.000) 42.912 Common Path Skew 0.058 42.970 Setup time -(-0.057) 43.027 ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Required Time 43.027 Arrival Time -(3.646) ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Path Slack (Passed) 39.381 ++++ Path 47 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Path Begin : CNT2_e3_e3_e3_20__i0.ff_inst/Q (SLICE_R3C67A) Path End : CNT2_e3_e3_e3_20__i0.ff_inst/DF (SLICE_R3C67A) Source Clock : CLK2 (R) Destination Clock: CLK2 (R) Logic Level : 2 Delay Ratio : 23.1% (route), 76.9% (logic) Clock Skew : -0.058 ns Setup Constraint : 40.000 ns Common Path Skew : 0.058 ns Path Slack : 39.381 ns (Passed) Source Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i0.ff_inst/CLK", "phy_name":"SLICE_1/CLK" }, "path_sections":[ { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":0.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":1.366, "delay":1.366 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":1.883, "delay":0.517 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":1.883, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":2.970, "delay":1.087 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.970, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Clk top CLOCK LATENCY 0.000 0.000 1 Clk NET DELAY 0.000 0.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.366 1.366 3 Clk_c NET DELAY 0.517 1.883 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 1.883 13 clk2 NET DELAY 1.087 2.970 13 CNT2_e3_e3_e3_20__i0.ff_inst/CLK CLOCK PIN 0.000 2.970 1 Data Path { "path_begin": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i0.ff_inst/Q", "phy_name":"SLICE_1/Q1" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i0.ff_inst/DF", "phy_name":"SLICE_1/DI1" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20__i0.ff_inst/CLK", "phy_name":"SLICE_1/CLK" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20__i0.ff_inst/Q", "phy_name":"SLICE_1/Q1" }, "arrive":3.277, "delay":0.307 }, { "type":"net_delay", "net": { "log_name":"CNT2[0]", "phy_name":"CNT2[0]" }, "arrive":3.433, "delay":0.156 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_cin/B1", "phy_name":"SLICE_1/B1" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_cin/S1", "phy_name":"SLICE_1/F1" }, "arrive":3.646, "delay":0.213 }, { "type":"net_delay", "net": { "log_name":"CNT2_7__N_3[0]", "phy_name":"CNT2_7__N_3[0]" }, "arrive":3.646, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":3.646, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ CNT2_e3_e3_e3_20__i0.ff_inst/CLK->CNT2_e3_e3_e3_20__i0.ff_inst/Q SLICE_R3C67A REG_DEL 0.307 3.277 2 CNT2[0] NET DELAY 0.156 3.433 2 CNT2_e3_e3_e3_20_add_4_cin/B1->CNT2_e3_e3_e3_20_add_4_cin/S1 SLICE_R3C67A CTOF_DEL 0.213 3.646 1 CNT2_7__N_3[0] NET DELAY 0.000 3.646 1 CNT2_e3_e3_e3_20__i0.ff_inst/DF ENDPOINT 0.000 3.646 1 Destination Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i0.ff_inst/CLK", "phy_name":"SLICE_1/CLK" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":40.000, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":40.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":41.366, "delay":1.366 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":41.870, "delay":0.504 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":41.870, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":42.912, "delay":1.042 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":42.912, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ CONSTRAINT 0.000 40.000 1 Clk top CLOCK LATENCY 0.000 40.000 1 Clk NET DELAY 0.000 40.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.366 41.366 3 Clk_c NET DELAY 0.504 41.870 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 41.870 13 clk2 NET DELAY 1.042 42.912 13 CNT2_e3_e3_e3_20__i0.ff_inst/CLK CLOCK PIN 0.000 42.912 1 Uncertainty -(0.000) 42.912 Common Path Skew 0.058 42.970 Setup time -(-0.057) 43.027 ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Required Time 43.027 Arrival Time -(3.646) ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Path Slack (Passed) 39.381 ++++ Path 48 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Path Begin : CNT2_e3_e3_e3_20__i3.ff_inst/Q (SLICE_R3C67C) Path End : CNT2_e3_e3_e3_20__i3.ff_inst/DF (SLICE_R3C67C) Source Clock : CLK2 (R) Destination Clock: CLK2 (R) Logic Level : 2 Delay Ratio : 23.2% (route), 76.8% (logic) Clock Skew : -0.058 ns Setup Constraint : 40.000 ns Common Path Skew : 0.058 ns Path Slack : 39.385 ns (Passed) Source Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i3.ff_inst/CLK", "phy_name":"SLICE_0/CLK" }, "path_sections":[ { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":0.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":1.366, "delay":1.366 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":1.883, "delay":0.517 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":1.883, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":2.970, "delay":1.087 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.970, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Clk top CLOCK LATENCY 0.000 0.000 1 Clk NET DELAY 0.000 0.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.366 1.366 3 Clk_c NET DELAY 0.517 1.883 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 1.883 13 clk2 NET DELAY 1.087 2.970 13 {CNT2_e3_e3_e3_20__i3.ff_inst/CLK CNT2_e3_e3_e3_20__i4.ff_inst/CLK} CLOCK PIN 0.000 2.970 1 Data Path { "path_begin": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i3.ff_inst/Q", "phy_name":"SLICE_0/Q0" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i3.ff_inst/DF", "phy_name":"SLICE_0/DI0" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20__i3.ff_inst/CLK", "phy_name":"SLICE_0/CLK" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20__i3.ff_inst/Q", "phy_name":"SLICE_0/Q0" }, "arrive":3.274, "delay":0.304 }, { "type":"net_delay", "net": { "log_name":"CNT2[3]", "phy_name":"CNT2[3]" }, "arrive":3.430, "delay":0.156 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_5/B0", "phy_name":"SLICE_0/B0" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_5/S0", "phy_name":"SLICE_0/F0" }, "arrive":3.638, "delay":0.213 }, { "type":"net_delay", "net": { "log_name":"CNT2_7__N_3[3]", "phy_name":"CNT2_7__N_3[3]" }, "arrive":3.638, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":3.638, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ CNT2_e3_e3_e3_20__i3.ff_inst/CLK->CNT2_e3_e3_e3_20__i3.ff_inst/Q SLICE_R3C67C REG_DEL 0.304 3.274 2 CNT2[3] NET DELAY 0.156 3.430 2 CNT2_e3_e3_e3_20_add_4_5/B0->CNT2_e3_e3_e3_20_add_4_5/S0 SLICE_R3C67C CTOF_DEL 0.213 3.638 1 CNT2_7__N_3[3] NET DELAY 0.000 3.638 1 CNT2_e3_e3_e3_20__i3.ff_inst/DF ENDPOINT 0.000 3.638 1 Destination Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i3.ff_inst/CLK", "phy_name":"SLICE_0/CLK" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":40.000, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":40.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":41.366, "delay":1.366 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":41.870, "delay":0.504 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":41.870, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":42.912, "delay":1.042 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":42.912, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ CONSTRAINT 0.000 40.000 1 Clk top CLOCK LATENCY 0.000 40.000 1 Clk NET DELAY 0.000 40.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.366 41.366 3 Clk_c NET DELAY 0.504 41.870 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 41.870 13 clk2 NET DELAY 1.042 42.912 13 {CNT2_e3_e3_e3_20__i3.ff_inst/CLK CNT2_e3_e3_e3_20__i4.ff_inst/CLK} CLOCK PIN 0.000 42.912 1 Uncertainty -(0.000) 42.912 Common Path Skew 0.058 42.970 Setup time -(-0.058) 43.028 ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Required Time 43.028 Arrival Time -(3.643) ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Path Slack (Passed) 39.385 ++++ Path 49 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Path Begin : CNT2_e3_e3_e3_20__i5.ff_inst/Q (SLICE_R3C67D) Path End : CNT2_e3_e3_e3_20__i5.ff_inst/DF (SLICE_R3C67D) Source Clock : CLK2 (R) Destination Clock: CLK2 (R) Logic Level : 2 Delay Ratio : 23.2% (route), 76.8% (logic) Clock Skew : -0.058 ns Setup Constraint : 40.000 ns Common Path Skew : 0.058 ns Path Slack : 39.385 ns (Passed) Source Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i5.ff_inst/CLK", "phy_name":"SLICE_3/CLK" }, "path_sections":[ { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":0.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":1.366, "delay":1.366 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":1.883, "delay":0.517 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":1.883, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":2.970, "delay":1.087 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.970, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Clk top CLOCK LATENCY 0.000 0.000 1 Clk NET DELAY 0.000 0.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.366 1.366 3 Clk_c NET DELAY 0.517 1.883 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 1.883 13 clk2 NET DELAY 1.087 2.970 13 {CNT2_e3_e3_e3_20__i5.ff_inst/CLK CNT2_e3_e3_e3_20__i6.ff_inst/CLK} CLOCK PIN 0.000 2.970 1 Data Path { "path_begin": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i5.ff_inst/Q", "phy_name":"SLICE_3/Q0" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i5.ff_inst/DF", "phy_name":"SLICE_3/DI0" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20__i5.ff_inst/CLK", "phy_name":"SLICE_3/CLK" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20__i5.ff_inst/Q", "phy_name":"SLICE_3/Q0" }, "arrive":3.274, "delay":0.304 }, { "type":"net_delay", "net": { "log_name":"CNT2[5]", "phy_name":"CNT2[5]" }, "arrive":3.430, "delay":0.156 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_7/B0", "phy_name":"SLICE_3/B0" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_7/S0", "phy_name":"SLICE_3/F0" }, "arrive":3.638, "delay":0.213 }, { "type":"net_delay", "net": { "log_name":"CNT2_7__N_3[5]", "phy_name":"CNT2_7__N_3[5]" }, "arrive":3.638, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":3.638, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ CNT2_e3_e3_e3_20__i5.ff_inst/CLK->CNT2_e3_e3_e3_20__i5.ff_inst/Q SLICE_R3C67D REG_DEL 0.304 3.274 2 CNT2[5] NET DELAY 0.156 3.430 2 CNT2_e3_e3_e3_20_add_4_7/B0->CNT2_e3_e3_e3_20_add_4_7/S0 SLICE_R3C67D CTOF_DEL 0.213 3.638 1 CNT2_7__N_3[5] NET DELAY 0.000 3.638 1 CNT2_e3_e3_e3_20__i5.ff_inst/DF ENDPOINT 0.000 3.638 1 Destination Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i5.ff_inst/CLK", "phy_name":"SLICE_3/CLK" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":40.000, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":40.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":41.366, "delay":1.366 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":41.870, "delay":0.504 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":41.870, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":42.912, "delay":1.042 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":42.912, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ CONSTRAINT 0.000 40.000 1 Clk top CLOCK LATENCY 0.000 40.000 1 Clk NET DELAY 0.000 40.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.366 41.366 3 Clk_c NET DELAY 0.504 41.870 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 41.870 13 clk2 NET DELAY 1.042 42.912 13 {CNT2_e3_e3_e3_20__i5.ff_inst/CLK CNT2_e3_e3_e3_20__i6.ff_inst/CLK} CLOCK PIN 0.000 42.912 1 Uncertainty -(0.000) 42.912 Common Path Skew 0.058 42.970 Setup time -(-0.058) 43.028 ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Required Time 43.028 Arrival Time -(3.643) ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Path Slack (Passed) 39.385 +++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ End of Detailed Report for timing paths +++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ ########################################################## 3 Setup at Speed Grade 9_High-Performance_1.0V Corner at 0 Degrees 3.1 Clock Summary 3.1.1 Clock "CLK1" create_clock -name {CLK1} -period 10 [get_ports Clk] Single Clock Domain ------------------------------------------------------------------------------------------------------- Clock CLK1 | | Period | Frequency ------------------------------------------------------------------------------------------------------- From CLK1 | Target | 10.000 ns | 100.000 MHz | Actual (all paths) | 5.000 ns | 200.000 MHz Clk_pad.bb_inst/B (MPW) | (50% duty cycle) | 5.000 ns | 200.000 MHz ------------------------------------------------------------------------------------------------------- Clock Domain Crossing ------------------------------------------------------------------------------------------------------ Clock CLK1 | Worst Time Between Edges | Comment ------------------------------------------------------------------------------------------------------ From CLK2 | ---- | No path ------------------------------------------------------------------------------------------------------ 3.1.2 Clock "CLK2" create_generated_clock -name {CLK2} -source [get_pins Clk_pad.bb_inst/O] -edges {1 2 9} [get_pins MyDCC/CLKO] Single Clock Domain ------------------------------------------------------------------------------------------------------- Clock CLK2 | | Period | Frequency ------------------------------------------------------------------------------------------------------- From CLK2 | Target | 40.000 ns | 25.000 MHz | Actual (all paths) | 2.000 ns | 500.000 MHz CNT2_d_i8.ff_inst/CLK (MPW) | (50% duty cycle) | 2.000 ns | 500.000 MHz ------------------------------------------------------------------------------------------------------- Clock Domain Crossing ------------------------------------------------------------------------------------------------------ Clock CLK2 | Worst Time Between Edges | Comment ------------------------------------------------------------------------------------------------------ From CLK1 | ---- | No path ------------------------------------------------------------------------------------------------------ 3.2 Endpoint slacks ------------------------------------------------------- Listing 19 End Points | Slack ------------------------------------------------------- CNT2_d_i4.ff_inst/DF | 4.338 ns CNT2_d_i3.ff_inst/DF | 4.359 ns CNT2_d_i5.ff_inst/DF | 4.359 ns CNT2_d_i7.ff_inst/DF | 4.359 ns CNT2_d_i2.ff_inst/DF | 4.390 ns CNT2_d_i8.ff_inst/DF | 4.390 ns CNT2_d_i1.ff_inst/DF | 4.413 ns CNT2_d_i6.ff_inst/DF | 4.444 ns MyDCC/CE | 6.739 ns CNT1_e3_e3_e3_21__i0.ff_inst/DF | 9.350 ns CNT1_e3_e3_e3_21__i1.ff_inst/DF | 9.350 ns CNT2_e3_e3_e3_20__i6.ff_inst/DF | 38.811 ns CNT2_e3_e3_e3_20__i7.ff_inst/DF | 38.831 ns CNT2_e3_e3_e3_20__i4.ff_inst/DF | 38.865 ns CNT2_e3_e3_e3_20__i5.ff_inst/DF | 38.885 ns CNT2_e3_e3_e3_20__i3.ff_inst/DF | 38.939 ns CNT2_e3_e3_e3_20__i2.ff_inst/DF | 39.000 ns CNT2_e3_e3_e3_20__i1.ff_inst/DF | 39.074 ns CNT2_e3_e3_e3_20__i0.ff_inst/DF | 39.385 ns ------------------------------------------------------- | Setup # of endpoints with negative slack:| 0 | ------------------------------------------------------- 3.3 Detailed Report XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX Detail report of critical paths XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX +++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Detailed Report for timing paths +++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ ++++Path 1 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Path Begin : CNT2_e3_e3_e3_20__i3.ff_inst/Q (SLICE_R3C67C) Path End : CNT2_d_i4.ff_inst/DF (SLICE_R4C67D) Source Clock : CLK2 (R) Destination Clock: CLK2 (F) Logic Level : 1 Delay Ratio : 51.0% (route), 49.0% (logic) Clock Skew : -0.128 ns Setup Constraint : 5.000 ns Common Path Skew : 0.031 ns Path Slack : 4.338 ns (Passed) Source Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i3.ff_inst/CLK", "phy_name":"SLICE_0/CLK" }, "path_sections":[ { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":0.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":1.238, "delay":1.238 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":1.767, "delay":0.529 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":1.767, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":2.878, "delay":1.111 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.878, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Clk top CLOCK LATENCY 0.000 0.000 1 Clk NET DELAY 0.000 0.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.238 1.238 3 Clk_c NET DELAY 0.529 1.767 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 1.767 13 clk2 NET DELAY 1.111 2.878 13 {CNT2_e3_e3_e3_20__i3.ff_inst/CLK CNT2_e3_e3_e3_20__i4.ff_inst/CLK} CLOCK PIN 0.000 2.878 1 Data Path { "path_begin": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i3.ff_inst/Q", "phy_name":"SLICE_0/Q0" }, "path_end": { "type":"pin", "log_name":"CNT2_d_i4.ff_inst/DF", "phy_name":"CNT2_d_i4.SLICE_11/M0" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20__i3.ff_inst/CLK", "phy_name":"SLICE_0/CLK" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20__i3.ff_inst/Q", "phy_name":"SLICE_0/Q0" }, "arrive":3.171, "delay":0.293 }, { "type":"net_delay", "net": { "log_name":"CNT2[3]", "phy_name":"CNT2[3]" }, "arrive":3.476, "delay":0.305 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":3.476, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ CNT2_e3_e3_e3_20__i3.ff_inst/CLK->CNT2_e3_e3_e3_20__i3.ff_inst/Q SLICE_R3C67C REG_DEL 0.293 3.171 2 CNT2[3] NET DELAY 0.305 3.476 2 CNT2_d_i4.ff_inst/DF ENDPOINT 0.000 3.476 1 Destination Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_d_i4.ff_inst/CLK", "phy_name":"CNT2_d_i4.SLICE_11/CLK" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":5.000, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":5.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":6.159, "delay":1.159 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":6.679, "delay":0.520 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":6.679, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":7.750, "delay":1.071 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":7.750, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ CONSTRAINT 0.000 5.000 1 Clk top CLOCK LATENCY 0.000 5.000 1 Clk NET DELAY 0.000 5.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.159 6.159 3 Clk_c NET DELAY 0.520 6.679 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 6.679 13 clk2 NET DELAY 1.071 7.750 13 CNT2_d_i4.ff_inst/CLK CLOCK PIN 0.000 7.750 1 Uncertainty -(0.000) 7.750 Common Path Skew 0.031 7.781 Setup time -(-0.033) 7.814 ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Required Time 7.814 Arrival Time -(3.476) ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Path Slack (Passed) 4.338 ++++ Path 2 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Path Begin : CNT2_e3_e3_e3_20__i2.ff_inst/Q (SLICE_R3C67B) Path End : CNT2_d_i3.ff_inst/DF (SLICE_R3C66C) Source Clock : CLK2 (R) Destination Clock: CLK2 (F) Logic Level : 1 Delay Ratio : 50.9% (route), 49.1% (logic) Clock Skew : -0.128 ns Setup Constraint : 5.000 ns Common Path Skew : 0.053 ns Path Slack : 4.359 ns (Passed) Source Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i1.ff_inst/CLK", "phy_name":"SLICE_2/CLK" }, "path_sections":[ { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":0.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":1.238, "delay":1.238 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":1.767, "delay":0.529 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":1.767, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":2.878, "delay":1.111 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.878, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Clk top CLOCK LATENCY 0.000 0.000 1 Clk NET DELAY 0.000 0.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.238 1.238 3 Clk_c NET DELAY 0.529 1.767 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 1.767 13 clk2 NET DELAY 1.111 2.878 13 {CNT2_e3_e3_e3_20__i1.ff_inst/CLK CNT2_e3_e3_e3_20__i2.ff_inst/CLK} CLOCK PIN 0.000 2.878 1 Data Path { "path_begin": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i2.ff_inst/Q", "phy_name":"SLICE_2/Q1" }, "path_end": { "type":"pin", "log_name":"CNT2_d_i3.ff_inst/DF", "phy_name":"CNT2_d_i3.SLICE_12/M0" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20__i2.ff_inst/CLK", "phy_name":"SLICE_2/CLK" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20__i2.ff_inst/Q", "phy_name":"SLICE_2/Q1" }, "arrive":3.172, "delay":0.294 }, { "type":"net_delay", "net": { "log_name":"CNT2[2]", "phy_name":"CNT2[2]" }, "arrive":3.477, "delay":0.305 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":3.477, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ CNT2_e3_e3_e3_20__i2.ff_inst/CLK->CNT2_e3_e3_e3_20__i2.ff_inst/Q SLICE_R3C67B REG_DEL 0.294 3.172 2 CNT2[2] NET DELAY 0.305 3.477 2 CNT2_d_i3.ff_inst/DF ENDPOINT 0.000 3.477 1 Destination Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_d_i3.ff_inst/CLK", "phy_name":"CNT2_d_i3.SLICE_12/CLK" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":5.000, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":5.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":6.159, "delay":1.159 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":6.679, "delay":0.520 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":6.679, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":7.750, "delay":1.071 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":7.750, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ CONSTRAINT 0.000 5.000 1 Clk top CLOCK LATENCY 0.000 5.000 1 Clk NET DELAY 0.000 5.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.159 6.159 3 Clk_c NET DELAY 0.520 6.679 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 6.679 13 clk2 NET DELAY 1.071 7.750 13 CNT2_d_i3.ff_inst/CLK CLOCK PIN 0.000 7.750 1 Uncertainty -(0.000) 7.750 Common Path Skew 0.053 7.803 Setup time -(-0.033) 7.836 ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Required Time 7.836 Arrival Time -(3.477) ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Path Slack (Passed) 4.359 ++++ Path 3 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Path Begin : CNT2_e3_e3_e3_20__i4.ff_inst/Q (SLICE_R3C67C) Path End : CNT2_d_i5.ff_inst/DF (SLICE_R3C68D) Source Clock : CLK2 (R) Destination Clock: CLK2 (F) Logic Level : 1 Delay Ratio : 50.9% (route), 49.1% (logic) Clock Skew : -0.128 ns Setup Constraint : 5.000 ns Common Path Skew : 0.053 ns Path Slack : 4.359 ns (Passed) Source Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i3.ff_inst/CLK", "phy_name":"SLICE_0/CLK" }, "path_sections":[ { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":0.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":1.238, "delay":1.238 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":1.767, "delay":0.529 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":1.767, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":2.878, "delay":1.111 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.878, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Clk top CLOCK LATENCY 0.000 0.000 1 Clk NET DELAY 0.000 0.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.238 1.238 3 Clk_c NET DELAY 0.529 1.767 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 1.767 13 clk2 NET DELAY 1.111 2.878 13 {CNT2_e3_e3_e3_20__i3.ff_inst/CLK CNT2_e3_e3_e3_20__i4.ff_inst/CLK} CLOCK PIN 0.000 2.878 1 Data Path { "path_begin": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i4.ff_inst/Q", "phy_name":"SLICE_0/Q1" }, "path_end": { "type":"pin", "log_name":"CNT2_d_i5.ff_inst/DF", "phy_name":"CNT2_d_i5.SLICE_10/M0" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20__i4.ff_inst/CLK", "phy_name":"SLICE_0/CLK" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20__i4.ff_inst/Q", "phy_name":"SLICE_0/Q1" }, "arrive":3.172, "delay":0.294 }, { "type":"net_delay", "net": { "log_name":"CNT2[4]", "phy_name":"CNT2[4]" }, "arrive":3.477, "delay":0.305 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":3.477, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ CNT2_e3_e3_e3_20__i4.ff_inst/CLK->CNT2_e3_e3_e3_20__i4.ff_inst/Q SLICE_R3C67C REG_DEL 0.294 3.172 2 CNT2[4] NET DELAY 0.305 3.477 2 CNT2_d_i5.ff_inst/DF ENDPOINT 0.000 3.477 1 Destination Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_d_i5.ff_inst/CLK", "phy_name":"CNT2_d_i5.SLICE_10/CLK" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":5.000, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":5.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":6.159, "delay":1.159 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":6.679, "delay":0.520 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":6.679, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":7.750, "delay":1.071 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":7.750, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ CONSTRAINT 0.000 5.000 1 Clk top CLOCK LATENCY 0.000 5.000 1 Clk NET DELAY 0.000 5.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.159 6.159 3 Clk_c NET DELAY 0.520 6.679 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 6.679 13 clk2 NET DELAY 1.071 7.750 13 CNT2_d_i5.ff_inst/CLK CLOCK PIN 0.000 7.750 1 Uncertainty -(0.000) 7.750 Common Path Skew 0.053 7.803 Setup time -(-0.033) 7.836 ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Required Time 7.836 Arrival Time -(3.477) ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Path Slack (Passed) 4.359 ++++ Path 4 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Path Begin : CNT2_e3_e3_e3_20__i6.ff_inst/Q (SLICE_R3C67D) Path End : CNT2_d_i7.ff_inst/DF (SLICE_R3C66D) Source Clock : CLK2 (R) Destination Clock: CLK2 (F) Logic Level : 1 Delay Ratio : 50.9% (route), 49.1% (logic) Clock Skew : -0.128 ns Setup Constraint : 5.000 ns Common Path Skew : 0.053 ns Path Slack : 4.359 ns (Passed) Source Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i5.ff_inst/CLK", "phy_name":"SLICE_3/CLK" }, "path_sections":[ { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":0.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":1.238, "delay":1.238 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":1.767, "delay":0.529 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":1.767, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":2.878, "delay":1.111 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.878, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Clk top CLOCK LATENCY 0.000 0.000 1 Clk NET DELAY 0.000 0.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.238 1.238 3 Clk_c NET DELAY 0.529 1.767 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 1.767 13 clk2 NET DELAY 1.111 2.878 13 {CNT2_e3_e3_e3_20__i5.ff_inst/CLK CNT2_e3_e3_e3_20__i6.ff_inst/CLK} CLOCK PIN 0.000 2.878 1 Data Path { "path_begin": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i6.ff_inst/Q", "phy_name":"SLICE_3/Q1" }, "path_end": { "type":"pin", "log_name":"CNT2_d_i7.ff_inst/DF", "phy_name":"CNT2_d_i7.SLICE_7/M0" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20__i6.ff_inst/CLK", "phy_name":"SLICE_3/CLK" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20__i6.ff_inst/Q", "phy_name":"SLICE_3/Q1" }, "arrive":3.172, "delay":0.294 }, { "type":"net_delay", "net": { "log_name":"CNT2[6]", "phy_name":"CNT2[6]" }, "arrive":3.477, "delay":0.305 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":3.477, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ CNT2_e3_e3_e3_20__i6.ff_inst/CLK->CNT2_e3_e3_e3_20__i6.ff_inst/Q SLICE_R3C67D REG_DEL 0.294 3.172 2 CNT2[6] NET DELAY 0.305 3.477 2 CNT2_d_i7.ff_inst/DF ENDPOINT 0.000 3.477 1 Destination Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_d_i7.ff_inst/CLK", "phy_name":"CNT2_d_i7.SLICE_7/CLK" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":5.000, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":5.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":6.159, "delay":1.159 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":6.679, "delay":0.520 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":6.679, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":7.750, "delay":1.071 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":7.750, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ CONSTRAINT 0.000 5.000 1 Clk top CLOCK LATENCY 0.000 5.000 1 Clk NET DELAY 0.000 5.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.159 6.159 3 Clk_c NET DELAY 0.520 6.679 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 6.679 13 clk2 NET DELAY 1.071 7.750 13 CNT2_d_i7.ff_inst/CLK CLOCK PIN 0.000 7.750 1 Uncertainty -(0.000) 7.750 Common Path Skew 0.053 7.803 Setup time -(-0.033) 7.836 ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Required Time 7.836 Arrival Time -(3.477) ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Path Slack (Passed) 4.359 ++++ Path 5 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Path Begin : CNT2_e3_e3_e3_20__i1.ff_inst/Q (SLICE_R3C67B) Path End : CNT2_d_i2.ff_inst/DF (SLICE_R2C67D) Source Clock : CLK2 (R) Destination Clock: CLK2 (F) Logic Level : 1 Delay Ratio : 44.3% (route), 55.7% (logic) Clock Skew : -0.128 ns Setup Constraint : 5.000 ns Common Path Skew : 0.031 ns Path Slack : 4.390 ns (Passed) Source Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i1.ff_inst/CLK", "phy_name":"SLICE_2/CLK" }, "path_sections":[ { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":0.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":1.238, "delay":1.238 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":1.767, "delay":0.529 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":1.767, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":2.878, "delay":1.111 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.878, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Clk top CLOCK LATENCY 0.000 0.000 1 Clk NET DELAY 0.000 0.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.238 1.238 3 Clk_c NET DELAY 0.529 1.767 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 1.767 13 clk2 NET DELAY 1.111 2.878 13 {CNT2_e3_e3_e3_20__i1.ff_inst/CLK CNT2_e3_e3_e3_20__i2.ff_inst/CLK} CLOCK PIN 0.000 2.878 1 Data Path { "path_begin": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i1.ff_inst/Q", "phy_name":"SLICE_2/Q0" }, "path_end": { "type":"pin", "log_name":"CNT2_d_i2.ff_inst/DF", "phy_name":"CNT2_d_i2.SLICE_13/M0" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20__i1.ff_inst/CLK", "phy_name":"SLICE_2/CLK" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20__i1.ff_inst/Q", "phy_name":"SLICE_2/Q0" }, "arrive":3.183, "delay":0.305 }, { "type":"net_delay", "net": { "log_name":"CNT2[1]", "phy_name":"CNT2[1]" }, "arrive":3.426, "delay":0.243 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":3.426, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ CNT2_e3_e3_e3_20__i1.ff_inst/CLK->CNT2_e3_e3_e3_20__i1.ff_inst/Q SLICE_R3C67B REG_DEL 0.305 3.183 2 CNT2[1] NET DELAY 0.243 3.426 2 CNT2_d_i2.ff_inst/DF ENDPOINT 0.000 3.426 1 Destination Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_d_i2.ff_inst/CLK", "phy_name":"CNT2_d_i2.SLICE_13/CLK" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":5.000, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":5.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":6.159, "delay":1.159 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":6.679, "delay":0.520 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":6.679, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":7.750, "delay":1.071 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":7.750, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ CONSTRAINT 0.000 5.000 1 Clk top CLOCK LATENCY 0.000 5.000 1 Clk NET DELAY 0.000 5.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.159 6.159 3 Clk_c NET DELAY 0.520 6.679 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 6.679 13 clk2 NET DELAY 1.071 7.750 13 CNT2_d_i2.ff_inst/CLK CLOCK PIN 0.000 7.750 1 Uncertainty -(0.000) 7.750 Common Path Skew 0.031 7.781 Setup time -(-0.035) 7.816 ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Required Time 7.816 Arrival Time -(3.426) ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Path Slack (Passed) 4.390 ++++ Path 6 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Path Begin : CNT2_e3_e3_e3_20__i7.ff_inst/Q (SLICE_R3C68A) Path End : CNT2_d_i8.ff_inst/DF (SLICE_R2C68D) Source Clock : CLK2 (R) Destination Clock: CLK2 (F) Logic Level : 1 Delay Ratio : 44.3% (route), 55.7% (logic) Clock Skew : -0.128 ns Setup Constraint : 5.000 ns Common Path Skew : 0.031 ns Path Slack : 4.390 ns (Passed) Source Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i7.ff_inst/CLK", "phy_name":"SLICE_4/CLK" }, "path_sections":[ { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":0.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":1.238, "delay":1.238 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":1.767, "delay":0.529 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":1.767, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":2.878, "delay":1.111 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.878, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Clk top CLOCK LATENCY 0.000 0.000 1 Clk NET DELAY 0.000 0.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.238 1.238 3 Clk_c NET DELAY 0.529 1.767 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 1.767 13 clk2 NET DELAY 1.111 2.878 13 CNT2_e3_e3_e3_20__i7.ff_inst/CLK CLOCK PIN 0.000 2.878 1 Data Path { "path_begin": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i7.ff_inst/Q", "phy_name":"SLICE_4/Q0" }, "path_end": { "type":"pin", "log_name":"CNT2_d_i8.ff_inst/DF", "phy_name":"CNT2_d_i8.SLICE_6/M0" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20__i7.ff_inst/CLK", "phy_name":"SLICE_4/CLK" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20__i7.ff_inst/Q", "phy_name":"SLICE_4/Q0" }, "arrive":3.183, "delay":0.305 }, { "type":"net_delay", "net": { "log_name":"CNT2[7]", "phy_name":"CNT2[7]" }, "arrive":3.426, "delay":0.243 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":3.426, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ CNT2_e3_e3_e3_20__i7.ff_inst/CLK->CNT2_e3_e3_e3_20__i7.ff_inst/Q SLICE_R3C68A REG_DEL 0.305 3.183 2 CNT2[7] NET DELAY 0.243 3.426 2 CNT2_d_i8.ff_inst/DF ENDPOINT 0.000 3.426 1 Destination Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_d_i8.ff_inst/CLK", "phy_name":"CNT2_d_i8.SLICE_6/CLK" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":5.000, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":5.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":6.159, "delay":1.159 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":6.679, "delay":0.520 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":6.679, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":7.750, "delay":1.071 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":7.750, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ CONSTRAINT 0.000 5.000 1 Clk top CLOCK LATENCY 0.000 5.000 1 Clk NET DELAY 0.000 5.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.159 6.159 3 Clk_c NET DELAY 0.520 6.679 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 6.679 13 clk2 NET DELAY 1.071 7.750 13 CNT2_d_i8.ff_inst/CLK CLOCK PIN 0.000 7.750 1 Uncertainty -(0.000) 7.750 Common Path Skew 0.031 7.781 Setup time -(-0.035) 7.816 ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Required Time 7.816 Arrival Time -(3.426) ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Path Slack (Passed) 4.390 ++++ Path 7 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Path Begin : CNT2_e3_e3_e3_20__i0.ff_inst/Q (SLICE_R3C67A) Path End : CNT2_d_i1.ff_inst/DF (SLICE_R2C67C) Source Clock : CLK2 (R) Destination Clock: CLK2 (F) Logic Level : 1 Delay Ratio : 41.3% (route), 58.7% (logic) Clock Skew : -0.128 ns Setup Constraint : 5.000 ns Common Path Skew : 0.031 ns Path Slack : 4.413 ns (Passed) Source Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i0.ff_inst/CLK", "phy_name":"SLICE_1/CLK" }, "path_sections":[ { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":0.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":1.238, "delay":1.238 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":1.767, "delay":0.529 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":1.767, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":2.878, "delay":1.111 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.878, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Clk top CLOCK LATENCY 0.000 0.000 1 Clk NET DELAY 0.000 0.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.238 1.238 3 Clk_c NET DELAY 0.529 1.767 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 1.767 13 clk2 NET DELAY 1.111 2.878 13 CNT2_e3_e3_e3_20__i0.ff_inst/CLK CLOCK PIN 0.000 2.878 1 Data Path { "path_begin": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i0.ff_inst/Q", "phy_name":"SLICE_1/Q1" }, "path_end": { "type":"pin", "log_name":"CNT2_d_i1.ff_inst/DF", "phy_name":"CNT2_d_i1.SLICE_14/M0" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20__i0.ff_inst/CLK", "phy_name":"SLICE_1/CLK" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20__i0.ff_inst/Q", "phy_name":"SLICE_1/Q1" }, "arrive":3.186, "delay":0.308 }, { "type":"net_delay", "net": { "log_name":"CNT2[0]", "phy_name":"CNT2[0]" }, "arrive":3.403, "delay":0.217 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":3.403, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ CNT2_e3_e3_e3_20__i0.ff_inst/CLK->CNT2_e3_e3_e3_20__i0.ff_inst/Q SLICE_R3C67A REG_DEL 0.308 3.186 2 CNT2[0] NET DELAY 0.217 3.403 2 CNT2_d_i1.ff_inst/DF ENDPOINT 0.000 3.403 1 Destination Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_d_i1.ff_inst/CLK", "phy_name":"CNT2_d_i1.SLICE_14/CLK" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":5.000, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":5.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":6.159, "delay":1.159 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":6.679, "delay":0.520 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":6.679, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":7.750, "delay":1.071 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":7.750, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ CONSTRAINT 0.000 5.000 1 Clk top CLOCK LATENCY 0.000 5.000 1 Clk NET DELAY 0.000 5.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.159 6.159 3 Clk_c NET DELAY 0.520 6.679 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 6.679 13 clk2 NET DELAY 1.071 7.750 13 CNT2_d_i1.ff_inst/CLK CLOCK PIN 0.000 7.750 1 Uncertainty -(0.000) 7.750 Common Path Skew 0.031 7.781 Setup time -(-0.035) 7.816 ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Required Time 7.816 Arrival Time -(3.403) ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Path Slack (Passed) 4.413 ++++ Path 8 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Path Begin : CNT2_e3_e3_e3_20__i5.ff_inst/Q (SLICE_R3C67D) Path End : CNT2_d_i6.ff_inst/DF (SLICE_R3C65D) Source Clock : CLK2 (R) Destination Clock: CLK2 (F) Logic Level : 1 Delay Ratio : 40.9% (route), 59.1% (logic) Clock Skew : -0.128 ns Setup Constraint : 5.000 ns Common Path Skew : 0.053 ns Path Slack : 4.444 ns (Passed) Source Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i5.ff_inst/CLK", "phy_name":"SLICE_3/CLK" }, "path_sections":[ { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":0.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":1.238, "delay":1.238 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":1.767, "delay":0.529 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":1.767, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":2.878, "delay":1.111 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.878, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Clk top CLOCK LATENCY 0.000 0.000 1 Clk NET DELAY 0.000 0.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.238 1.238 3 Clk_c NET DELAY 0.529 1.767 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 1.767 13 clk2 NET DELAY 1.111 2.878 13 {CNT2_e3_e3_e3_20__i5.ff_inst/CLK CNT2_e3_e3_e3_20__i6.ff_inst/CLK} CLOCK PIN 0.000 2.878 1 Data Path { "path_begin": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i5.ff_inst/Q", "phy_name":"SLICE_3/Q0" }, "path_end": { "type":"pin", "log_name":"CNT2_d_i6.ff_inst/DF", "phy_name":"CNT2_d_i6.SLICE_9/M0" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20__i5.ff_inst/CLK", "phy_name":"SLICE_3/CLK" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20__i5.ff_inst/Q", "phy_name":"SLICE_3/Q0" }, "arrive":3.183, "delay":0.305 }, { "type":"net_delay", "net": { "log_name":"CNT2[5]", "phy_name":"CNT2[5]" }, "arrive":3.394, "delay":0.211 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":3.394, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ CNT2_e3_e3_e3_20__i5.ff_inst/CLK->CNT2_e3_e3_e3_20__i5.ff_inst/Q SLICE_R3C67D REG_DEL 0.305 3.183 2 CNT2[5] NET DELAY 0.211 3.394 2 CNT2_d_i6.ff_inst/DF ENDPOINT 0.000 3.394 1 Destination Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_d_i6.ff_inst/CLK", "phy_name":"CNT2_d_i6.SLICE_9/CLK" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":5.000, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":5.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":6.159, "delay":1.159 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":6.679, "delay":0.520 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":6.679, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":7.750, "delay":1.071 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":7.750, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ CONSTRAINT 0.000 5.000 1 Clk top CLOCK LATENCY 0.000 5.000 1 Clk NET DELAY 0.000 5.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.159 6.159 3 Clk_c NET DELAY 0.520 6.679 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 6.679 13 clk2 NET DELAY 1.071 7.750 13 CNT2_d_i6.ff_inst/CLK CLOCK PIN 0.000 7.750 1 Uncertainty -(0.000) 7.750 Common Path Skew 0.053 7.803 Setup time -(-0.035) 7.838 ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Required Time 7.838 Arrival Time -(3.394) ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Path Slack (Passed) 4.444 ++++ Path 9 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Path Begin : CNT1_e3_e3_e3_21__i0.ff_inst/Q (SLICE_R9C2C) Path End : MyDCC/CE (DCC_DCC_T6) Source Clock : CLK1 (R) Destination Clock: CLK1 (R) Logic Level : 2 Delay Ratio : 76.3% (route), 23.7% (logic) Clock Skew : -1.123 ns Setup Constraint : 10.000 ns Common Path Skew : 0.013 ns Path Slack : 6.739 ns (Passed) Source Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT1_e3_e3_e3_21__i0.ff_inst/CLK", "phy_name":"SLICE_8/CLK" }, "path_sections":[ { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":0.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":1.238, "delay":1.238 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":2.877, "delay":1.639 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.877, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- -------- --------------------- ------ Clk top CLOCK LATENCY 0.000 0.000 1 Clk NET DELAY 0.000 0.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.238 1.238 3 Clk_c NET DELAY 1.639 2.877 3 CNT1_e3_e3_e3_21__i0.ff_inst/CLK CLOCK PIN 0.000 2.877 1 Data Path { "path_begin": { "type":"pin", "log_name":"CNT1_e3_e3_e3_21__i0.ff_inst/Q", "phy_name":"SLICE_8/Q0" }, "path_end": { "type":"pin", "log_name":"MyDCC/CE", "phy_name":"MyDCC/CE" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"CNT1_e3_e3_e3_21__i0.ff_inst/CLK", "phy_name":"SLICE_8/CLK" }, "pin1": { "log_name":"CNT1_e3_e3_e3_21__i0.ff_inst/Q", "phy_name":"SLICE_8/Q0" }, "arrive":3.182, "delay":0.305 }, { "type":"net_delay", "net": { "log_name":"CNT1[0]", "phy_name":"CNT1[0]" }, "arrive":3.416, "delay":0.234 }, { "type":"site_delay", "pin0": { "log_name":"i25_2_lut/A", "phy_name":"SLICE_15/D0" }, "pin1": { "log_name":"i25_2_lut/Z", "phy_name":"SLICE_15/F0" }, "arrive":3.621, "delay":0.205 }, { "type":"net_delay", "net": { "log_name":"Enable", "phy_name":"Enable" }, "arrive":5.028, "delay":1.407 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":5.028, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- -------- --------------------- ------ CNT1_e3_e3_e3_21__i0.ff_inst/CLK->CNT1_e3_e3_e3_21__i0.ff_inst/Q SLICE_R9C2C REG_DEL 0.305 3.182 3 CNT1[0] NET DELAY 0.234 3.416 3 i25_2_lut/A->i25_2_lut/Z SLICE_R9C2B CTOF_DEL 0.205 3.621 1 Enable NET DELAY 1.407 5.028 1 MyDCC/CE ENDPOINT 0.000 5.028 1 Destination Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":10.000, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":10.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":11.238, "delay":1.238 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":11.754, "delay":0.516 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":11.754, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- -------- --------------------- ------ CONSTRAINT 0.000 10.000 1 Clk top CLOCK LATENCY 0.000 10.000 1 Clk NET DELAY 0.000 10.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.238 11.238 3 Clk_c NET DELAY 0.516 11.754 3 MyDCC/CLKI CLOCK PIN 0.000 11.754 1 Uncertainty -(0.000) 11.754 Common Path Skew 0.013 11.767 Setup time -(0.000) 11.767 ---------------------------------------- -------------- ---------------- -------- --------------------- ------ Required Time 11.767 Arrival Time -(5.028) ---------------------------------------- -------------- ---------------- -------- --------------------- ------ Path Slack (Passed) 6.739 ++++ Path 10 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Path Begin : CNT1_e3_e3_e3_21__i1.ff_inst/Q (SLICE_R9C2D) Path End : MyDCC/CE (DCC_DCC_T6) Source Clock : CLK1 (R) Destination Clock: CLK1 (R) Logic Level : 2 Delay Ratio : 76.8% (route), 23.2% (logic) Clock Skew : -1.123 ns Setup Constraint : 10.000 ns Common Path Skew : 0.013 ns Path Slack : 6.744 ns (Passed) Source Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT1_e3_e3_e3_21__i1.ff_inst/CLK", "phy_name":"SLICE_5/CLK" }, "path_sections":[ { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":0.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":1.238, "delay":1.238 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":2.877, "delay":1.639 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.877, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- -------- --------------------- ------ Clk top CLOCK LATENCY 0.000 0.000 1 Clk NET DELAY 0.000 0.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.238 1.238 3 Clk_c NET DELAY 1.639 2.877 3 CNT1_e3_e3_e3_21__i1.ff_inst/CLK CLOCK PIN 0.000 2.877 1 Data Path { "path_begin": { "type":"pin", "log_name":"CNT1_e3_e3_e3_21__i1.ff_inst/Q", "phy_name":"SLICE_5/Q0" }, "path_end": { "type":"pin", "log_name":"MyDCC/CE", "phy_name":"MyDCC/CE" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"CNT1_e3_e3_e3_21__i1.ff_inst/CLK", "phy_name":"SLICE_5/CLK" }, "pin1": { "log_name":"CNT1_e3_e3_e3_21__i1.ff_inst/Q", "phy_name":"SLICE_5/Q0" }, "arrive":3.170, "delay":0.293 }, { "type":"net_delay", "net": { "log_name":"CNT1[1]", "phy_name":"CNT1[1]" }, "arrive":3.411, "delay":0.241 }, { "type":"site_delay", "pin0": { "log_name":"i25_2_lut/B", "phy_name":"SLICE_15/B0" }, "pin1": { "log_name":"i25_2_lut/Z", "phy_name":"SLICE_15/F0" }, "arrive":3.616, "delay":0.205 }, { "type":"net_delay", "net": { "log_name":"Enable", "phy_name":"Enable" }, "arrive":5.023, "delay":1.407 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":5.023, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- -------- --------------------- ------ CNT1_e3_e3_e3_21__i1.ff_inst/CLK->CNT1_e3_e3_e3_21__i1.ff_inst/Q SLICE_R9C2D REG_DEL 0.293 3.170 2 CNT1[1] NET DELAY 0.241 3.411 2 i25_2_lut/B->i25_2_lut/Z SLICE_R9C2B CTOF_DEL 0.205 3.616 1 Enable NET DELAY 1.407 5.023 1 MyDCC/CE ENDPOINT 0.000 5.023 1 Destination Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":10.000, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":10.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":11.238, "delay":1.238 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":11.754, "delay":0.516 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":11.754, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- -------- --------------------- ------ CONSTRAINT 0.000 10.000 1 Clk top CLOCK LATENCY 0.000 10.000 1 Clk NET DELAY 0.000 10.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.238 11.238 3 Clk_c NET DELAY 0.516 11.754 3 MyDCC/CLKI CLOCK PIN 0.000 11.754 1 Uncertainty -(0.000) 11.754 Common Path Skew 0.013 11.767 Setup time -(0.000) 11.767 ---------------------------------------- -------------- ---------------- -------- --------------------- ------ Required Time 11.767 Arrival Time -(5.023) ---------------------------------------- -------------- ---------------- -------- --------------------- ------ Path Slack (Passed) 6.744 ++++ Path 11 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Path Begin : CNT1_e3_e3_e3_21__i0.ff_inst/Q (SLICE_R9C2C) Path End : CNT1_e3_e3_e3_21__i0.ff_inst/DF (SLICE_R9C2C) Source Clock : CLK1 (R) Destination Clock: CLK1 (R) Logic Level : 2 Delay Ratio : 26.8% (route), 73.2% (logic) Clock Skew : -0.059 ns Setup Constraint : 10.000 ns Common Path Skew : 0.059 ns Path Slack : 9.350 ns (Passed) Source Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT1_e3_e3_e3_21__i0.ff_inst/CLK", "phy_name":"SLICE_8/CLK" }, "path_sections":[ { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":0.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":1.238, "delay":1.238 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":2.877, "delay":1.639 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.877, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Clk top CLOCK LATENCY 0.000 0.000 1 Clk NET DELAY 0.000 0.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.238 1.238 3 Clk_c NET DELAY 1.639 2.877 3 CNT1_e3_e3_e3_21__i0.ff_inst/CLK CLOCK PIN 0.000 2.877 1 Data Path { "path_begin": { "type":"pin", "log_name":"CNT1_e3_e3_e3_21__i0.ff_inst/Q", "phy_name":"SLICE_8/Q0" }, "path_end": { "type":"pin", "log_name":"CNT1_e3_e3_e3_21__i0.ff_inst/DF", "phy_name":"SLICE_8/DI0" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"CNT1_e3_e3_e3_21__i0.ff_inst/CLK", "phy_name":"SLICE_8/CLK" }, "pin1": { "log_name":"CNT1_e3_e3_e3_21__i0.ff_inst/Q", "phy_name":"SLICE_8/Q0" }, "arrive":3.182, "delay":0.305 }, { "type":"net_delay", "net": { "log_name":"CNT1[0]", "phy_name":"CNT1[0]" }, "arrive":3.372, "delay":0.190 }, { "type":"site_delay", "pin0": { "log_name":"i34_1_lut/A", "phy_name":"SLICE_8/D0" }, "pin1": { "log_name":"i34_1_lut/Z", "phy_name":"SLICE_8/F0" }, "arrive":3.585, "delay":0.213 }, { "type":"net_delay", "net": { "log_name":"n15", "phy_name":"n15" }, "arrive":3.585, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":3.585, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ CNT1_e3_e3_e3_21__i0.ff_inst/CLK->CNT1_e3_e3_e3_21__i0.ff_inst/Q SLICE_R9C2C REG_DEL 0.305 3.182 3 CNT1[0] NET DELAY 0.190 3.372 3 i34_1_lut/A->i34_1_lut/Z SLICE_R9C2C CTOF_DEL 0.213 3.585 1 n15 NET DELAY 0.000 3.585 1 CNT1_e3_e3_e3_21__i0.ff_inst/DF ENDPOINT 0.000 3.585 1 Destination Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT1_e3_e3_e3_21__i0.ff_inst/CLK", "phy_name":"SLICE_8/CLK" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":10.000, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":10.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":11.238, "delay":1.238 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":12.818, "delay":1.580 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":12.818, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ CONSTRAINT 0.000 10.000 1 Clk top CLOCK LATENCY 0.000 10.000 1 Clk NET DELAY 0.000 10.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.238 11.238 3 Clk_c NET DELAY 1.580 12.818 3 CNT1_e3_e3_e3_21__i0.ff_inst/CLK CLOCK PIN 0.000 12.818 1 Uncertainty -(0.000) 12.818 Common Path Skew 0.059 12.877 Setup time -(-0.058) 12.935 ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Required Time 12.935 Arrival Time -(3.585) ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Path Slack (Passed) 9.350 ++++ Path 12 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Path Begin : CNT1_e3_e3_e3_21__i0.ff_inst/Q (SLICE_R9C2C) Path End : CNT1_e3_e3_e3_21__i1.ff_inst/DF (SLICE_R9C2D) Source Clock : CLK1 (R) Destination Clock: CLK1 (R) Logic Level : 2 Delay Ratio : 26.8% (route), 73.2% (logic) Clock Skew : -0.059 ns Setup Constraint : 10.000 ns Common Path Skew : 0.059 ns Path Slack : 9.350 ns (Passed) Source Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT1_e3_e3_e3_21__i0.ff_inst/CLK", "phy_name":"SLICE_8/CLK" }, "path_sections":[ { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":0.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":1.238, "delay":1.238 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":2.877, "delay":1.639 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.877, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Clk top CLOCK LATENCY 0.000 0.000 1 Clk NET DELAY 0.000 0.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.238 1.238 3 Clk_c NET DELAY 1.639 2.877 3 CNT1_e3_e3_e3_21__i0.ff_inst/CLK CLOCK PIN 0.000 2.877 1 Data Path { "path_begin": { "type":"pin", "log_name":"CNT1_e3_e3_e3_21__i0.ff_inst/Q", "phy_name":"SLICE_8/Q0" }, "path_end": { "type":"pin", "log_name":"CNT1_e3_e3_e3_21__i1.ff_inst/DF", "phy_name":"SLICE_5/DI0" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"CNT1_e3_e3_e3_21__i0.ff_inst/CLK", "phy_name":"SLICE_8/CLK" }, "pin1": { "log_name":"CNT1_e3_e3_e3_21__i0.ff_inst/Q", "phy_name":"SLICE_8/Q0" }, "arrive":3.182, "delay":0.305 }, { "type":"net_delay", "net": { "log_name":"CNT1[0]", "phy_name":"CNT1[0]" }, "arrive":3.372, "delay":0.190 }, { "type":"site_delay", "pin0": { "log_name":"i36_2_lut/B", "phy_name":"SLICE_5/D0" }, "pin1": { "log_name":"i36_2_lut/Z", "phy_name":"SLICE_5/F0" }, "arrive":3.585, "delay":0.213 }, { "type":"net_delay", "net": { "log_name":"n14", "phy_name":"n14" }, "arrive":3.585, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":3.585, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ CNT1_e3_e3_e3_21__i0.ff_inst/CLK->CNT1_e3_e3_e3_21__i0.ff_inst/Q SLICE_R9C2C REG_DEL 0.305 3.182 3 CNT1[0] NET DELAY 0.190 3.372 3 i36_2_lut/B->i36_2_lut/Z SLICE_R9C2D CTOF_DEL 0.213 3.585 1 n14 NET DELAY 0.000 3.585 1 CNT1_e3_e3_e3_21__i1.ff_inst/DF ENDPOINT 0.000 3.585 1 Destination Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT1_e3_e3_e3_21__i1.ff_inst/CLK", "phy_name":"SLICE_5/CLK" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":10.000, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":10.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":11.238, "delay":1.238 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":12.818, "delay":1.580 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":12.818, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ CONSTRAINT 0.000 10.000 1 Clk top CLOCK LATENCY 0.000 10.000 1 Clk NET DELAY 0.000 10.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.238 11.238 3 Clk_c NET DELAY 1.580 12.818 3 CNT1_e3_e3_e3_21__i1.ff_inst/CLK CLOCK PIN 0.000 12.818 1 Uncertainty -(0.000) 12.818 Common Path Skew 0.059 12.877 Setup time -(-0.058) 12.935 ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Required Time 12.935 Arrival Time -(3.585) ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Path Slack (Passed) 9.350 ++++ Path 13 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Path Begin : CNT1_e3_e3_e3_21__i1.ff_inst/Q (SLICE_R9C2D) Path End : CNT1_e3_e3_e3_21__i1.ff_inst/DF (SLICE_R9C2D) Source Clock : CLK1 (R) Destination Clock: CLK1 (R) Logic Level : 2 Delay Ratio : 22.5% (route), 77.5% (logic) Clock Skew : -0.059 ns Setup Constraint : 10.000 ns Common Path Skew : 0.059 ns Path Slack : 9.390 ns (Passed) Source Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT1_e3_e3_e3_21__i1.ff_inst/CLK", "phy_name":"SLICE_5/CLK" }, "path_sections":[ { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":0.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":1.238, "delay":1.238 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":2.877, "delay":1.639 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.877, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Clk top CLOCK LATENCY 0.000 0.000 1 Clk NET DELAY 0.000 0.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.238 1.238 3 Clk_c NET DELAY 1.639 2.877 3 CNT1_e3_e3_e3_21__i1.ff_inst/CLK CLOCK PIN 0.000 2.877 1 Data Path { "path_begin": { "type":"pin", "log_name":"CNT1_e3_e3_e3_21__i1.ff_inst/Q", "phy_name":"SLICE_5/Q0" }, "path_end": { "type":"pin", "log_name":"CNT1_e3_e3_e3_21__i1.ff_inst/DF", "phy_name":"SLICE_5/DI0" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"CNT1_e3_e3_e3_21__i1.ff_inst/CLK", "phy_name":"SLICE_5/CLK" }, "pin1": { "log_name":"CNT1_e3_e3_e3_21__i1.ff_inst/Q", "phy_name":"SLICE_5/Q0" }, "arrive":3.182, "delay":0.305 }, { "type":"net_delay", "net": { "log_name":"CNT1[1]", "phy_name":"CNT1[1]" }, "arrive":3.332, "delay":0.150 }, { "type":"site_delay", "pin0": { "log_name":"i36_2_lut/A", "phy_name":"SLICE_5/B0" }, "pin1": { "log_name":"i36_2_lut/Z", "phy_name":"SLICE_5/F0" }, "arrive":3.545, "delay":0.213 }, { "type":"net_delay", "net": { "log_name":"n14", "phy_name":"n14" }, "arrive":3.545, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":3.545, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ CNT1_e3_e3_e3_21__i1.ff_inst/CLK->CNT1_e3_e3_e3_21__i1.ff_inst/Q SLICE_R9C2D REG_DEL 0.305 3.182 2 CNT1[1] NET DELAY 0.150 3.332 2 i36_2_lut/A->i36_2_lut/Z SLICE_R9C2D CTOF_DEL 0.213 3.545 1 n14 NET DELAY 0.000 3.545 1 CNT1_e3_e3_e3_21__i1.ff_inst/DF ENDPOINT 0.000 3.545 1 Destination Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT1_e3_e3_e3_21__i1.ff_inst/CLK", "phy_name":"SLICE_5/CLK" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":10.000, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":10.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":11.238, "delay":1.238 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":12.818, "delay":1.580 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":12.818, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ CONSTRAINT 0.000 10.000 1 Clk top CLOCK LATENCY 0.000 10.000 1 Clk NET DELAY 0.000 10.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.238 11.238 3 Clk_c NET DELAY 1.580 12.818 3 CNT1_e3_e3_e3_21__i1.ff_inst/CLK CLOCK PIN 0.000 12.818 1 Uncertainty -(0.000) 12.818 Common Path Skew 0.059 12.877 Setup time -(-0.058) 12.935 ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Required Time 12.935 Arrival Time -(3.545) ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Path Slack (Passed) 9.390 ++++ Path 14 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Path Begin : CNT2_e3_e3_e3_20__i1.ff_inst/Q (SLICE_R3C67B) Path End : CNT2_e3_e3_e3_20__i6.ff_inst/DF (SLICE_R3C67D) Source Clock : CLK2 (R) Destination Clock: CLK2 (R) Logic Level : 4 Delay Ratio : 22.8% (route), 77.2% (logic) Clock Skew : -0.059 ns Setup Constraint : 40.000 ns Common Path Skew : 0.053 ns Path Slack : 38.811 ns (Passed) Source Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i1.ff_inst/CLK", "phy_name":"SLICE_2/CLK" }, "path_sections":[ { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":0.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":1.238, "delay":1.238 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":1.767, "delay":0.529 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":1.767, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":2.878, "delay":1.111 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.878, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Clk top CLOCK LATENCY 0.000 0.000 1 Clk NET DELAY 0.000 0.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.238 1.238 3 Clk_c NET DELAY 0.529 1.767 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 1.767 13 clk2 NET DELAY 1.111 2.878 13 {CNT2_e3_e3_e3_20__i1.ff_inst/CLK CNT2_e3_e3_e3_20__i2.ff_inst/CLK} CLOCK PIN 0.000 2.878 1 Data Path { "path_begin": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i1.ff_inst/Q", "phy_name":"SLICE_2/Q0" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i6.ff_inst/DF", "phy_name":"SLICE_3/DI1" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20__i1.ff_inst/CLK", "phy_name":"SLICE_2/CLK" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20__i1.ff_inst/Q", "phy_name":"SLICE_2/Q0" }, "arrive":3.183, "delay":0.305 }, { "type":"net_delay", "net": { "log_name":"CNT2[1]", "phy_name":"CNT2[1]" }, "arrive":3.466, "delay":0.283 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_3/B0", "phy_name":"SLICE_2/B0" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_3/COUT", "phy_name":"SLICE_2/FCO" }, "arrive":3.783, "delay":0.317 }, { "type":"net_delay", "net": { "log_name":"n135", "phy_name":"n135" }, "arrive":3.783, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_5/CIN", "phy_name":"SLICE_0/FCI" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_5/COUT", "phy_name":"SLICE_0/FCO" }, "arrive":3.837, "delay":0.054 }, { "type":"net_delay", "net": { "log_name":"n136", "phy_name":"n136" }, "arrive":3.837, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_7/CIN", "phy_name":"SLICE_3/FCI" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_7/S1", "phy_name":"SLICE_3/F1" }, "arrive":4.118, "delay":0.281 }, { "type":"net_delay", "net": { "log_name":"CNT2_7__N_3[6]", "phy_name":"CNT2_7__N_3[6]" }, "arrive":4.118, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":4.118, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ CNT2_e3_e3_e3_20__i1.ff_inst/CLK->CNT2_e3_e3_e3_20__i1.ff_inst/Q SLICE_R3C67B REG_DEL 0.305 3.183 2 CNT2[1] NET DELAY 0.283 3.466 2 CNT2_e3_e3_e3_20_add_4_3/B0->CNT2_e3_e3_e3_20_add_4_3/COUT SLICE_R3C67B C0TOFCO_DEL 0.317 3.783 1 n135 NET DELAY 0.000 3.783 1 CNT2_e3_e3_e3_20_add_4_5/CIN->CNT2_e3_e3_e3_20_add_4_5/COUT SLICE_R3C67C FCITOFCO_DEL 0.054 3.837 1 n136 NET DELAY 0.000 3.837 1 CNT2_e3_e3_e3_20_add_4_7/CIN->CNT2_e3_e3_e3_20_add_4_7/S1 SLICE_R3C67D FCITOF1_DEL 0.281 4.118 1 CNT2_7__N_3[6] NET DELAY 0.000 4.118 1 CNT2_e3_e3_e3_20__i6.ff_inst/DF ENDPOINT 0.000 4.118 1 Destination Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i5.ff_inst/CLK", "phy_name":"SLICE_3/CLK" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":40.000, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":40.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":41.238, "delay":1.238 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":41.754, "delay":0.516 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":41.754, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":42.819, "delay":1.065 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":42.819, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ CONSTRAINT 0.000 40.000 1 Clk top CLOCK LATENCY 0.000 40.000 1 Clk NET DELAY 0.000 40.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.238 41.238 3 Clk_c NET DELAY 0.516 41.754 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 41.754 13 clk2 NET DELAY 1.065 42.819 13 {CNT2_e3_e3_e3_20__i5.ff_inst/CLK CNT2_e3_e3_e3_20__i6.ff_inst/CLK} CLOCK PIN 0.000 42.819 1 Uncertainty -(0.000) 42.819 Common Path Skew 0.053 42.872 Setup time -(-0.057) 42.929 ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Required Time 42.929 Arrival Time -(4.118) ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Path Slack (Passed) 38.811 ++++ Path 15 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Path Begin : CNT2_e3_e3_e3_20__i1.ff_inst/Q (SLICE_R3C67B) Path End : CNT2_e3_e3_e3_20__i7.ff_inst/DF (SLICE_R3C68A) Source Clock : CLK2 (R) Destination Clock: CLK2 (R) Logic Level : 5 Delay Ratio : 23.2% (route), 76.8% (logic) Clock Skew : -0.059 ns Setup Constraint : 40.000 ns Common Path Skew : 0.053 ns Path Slack : 38.831 ns (Passed) Source Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i1.ff_inst/CLK", "phy_name":"SLICE_2/CLK" }, "path_sections":[ { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":0.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":1.238, "delay":1.238 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":1.767, "delay":0.529 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":1.767, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":2.878, "delay":1.111 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.878, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Clk top CLOCK LATENCY 0.000 0.000 1 Clk NET DELAY 0.000 0.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.238 1.238 3 Clk_c NET DELAY 0.529 1.767 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 1.767 13 clk2 NET DELAY 1.111 2.878 13 {CNT2_e3_e3_e3_20__i1.ff_inst/CLK CNT2_e3_e3_e3_20__i2.ff_inst/CLK} CLOCK PIN 0.000 2.878 1 Data Path { "path_begin": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i1.ff_inst/Q", "phy_name":"SLICE_2/Q0" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i7.ff_inst/DF", "phy_name":"SLICE_4/DI0" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20__i1.ff_inst/CLK", "phy_name":"SLICE_2/CLK" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20__i1.ff_inst/Q", "phy_name":"SLICE_2/Q0" }, "arrive":3.183, "delay":0.305 }, { "type":"net_delay", "net": { "log_name":"CNT2[1]", "phy_name":"CNT2[1]" }, "arrive":3.466, "delay":0.283 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_3/B0", "phy_name":"SLICE_2/B0" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_3/COUT", "phy_name":"SLICE_2/FCO" }, "arrive":3.783, "delay":0.317 }, { "type":"net_delay", "net": { "log_name":"n135", "phy_name":"n135" }, "arrive":3.783, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_5/CIN", "phy_name":"SLICE_0/FCI" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_5/COUT", "phy_name":"SLICE_0/FCO" }, "arrive":3.837, "delay":0.054 }, { "type":"net_delay", "net": { "log_name":"n136", "phy_name":"n136" }, "arrive":3.837, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_7/CIN", "phy_name":"SLICE_3/FCI" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_7/COUT", "phy_name":"SLICE_3/FCO" }, "arrive":3.891, "delay":0.054 }, { "type":"net_delay", "net": { "log_name":"n137", "phy_name":"n137" }, "arrive":3.891, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_9/CIN", "phy_name":"SLICE_4/FCI" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_9/S0", "phy_name":"SLICE_4/F0" }, "arrive":4.099, "delay":0.208 }, { "type":"net_delay", "net": { "log_name":"CNT2_7__N_3[7]", "phy_name":"CNT2_7__N_3[7]" }, "arrive":4.099, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":4.099, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ CNT2_e3_e3_e3_20__i1.ff_inst/CLK->CNT2_e3_e3_e3_20__i1.ff_inst/Q SLICE_R3C67B REG_DEL 0.305 3.183 2 CNT2[1] NET DELAY 0.283 3.466 2 CNT2_e3_e3_e3_20_add_4_3/B0->CNT2_e3_e3_e3_20_add_4_3/COUT SLICE_R3C67B C0TOFCO_DEL 0.317 3.783 1 n135 NET DELAY 0.000 3.783 1 CNT2_e3_e3_e3_20_add_4_5/CIN->CNT2_e3_e3_e3_20_add_4_5/COUT SLICE_R3C67C FCITOFCO_DEL 0.054 3.837 1 n136 NET DELAY 0.000 3.837 1 CNT2_e3_e3_e3_20_add_4_7/CIN->CNT2_e3_e3_e3_20_add_4_7/COUT SLICE_R3C67D FCITOFCO_DEL 0.054 3.891 1 n137 NET DELAY 0.000 3.891 1 CNT2_e3_e3_e3_20_add_4_9/CIN->CNT2_e3_e3_e3_20_add_4_9/S0 SLICE_R3C68A FCITOF0_DEL 0.208 4.099 1 CNT2_7__N_3[7] NET DELAY 0.000 4.099 1 CNT2_e3_e3_e3_20__i7.ff_inst/DF ENDPOINT 0.000 4.099 1 Destination Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i7.ff_inst/CLK", "phy_name":"SLICE_4/CLK" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":40.000, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":40.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":41.238, "delay":1.238 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":41.754, "delay":0.516 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":41.754, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":42.819, "delay":1.065 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":42.819, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ CONSTRAINT 0.000 40.000 1 Clk top CLOCK LATENCY 0.000 40.000 1 Clk NET DELAY 0.000 40.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.238 41.238 3 Clk_c NET DELAY 0.516 41.754 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 41.754 13 clk2 NET DELAY 1.065 42.819 13 CNT2_e3_e3_e3_20__i7.ff_inst/CLK CLOCK PIN 0.000 42.819 1 Uncertainty -(0.000) 42.819 Common Path Skew 0.053 42.872 Setup time -(-0.058) 42.930 ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Required Time 42.930 Arrival Time -(4.099) ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Path Slack (Passed) 38.831 ++++ Path 16 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Path Begin : CNT2_e3_e3_e3_20__i1.ff_inst/Q (SLICE_R3C67B) Path End : CNT2_e3_e3_e3_20__i4.ff_inst/DF (SLICE_R3C67C) Source Clock : CLK2 (R) Destination Clock: CLK2 (R) Logic Level : 3 Delay Ratio : 23.9% (route), 76.1% (logic) Clock Skew : -0.059 ns Setup Constraint : 40.000 ns Common Path Skew : 0.053 ns Path Slack : 38.865 ns (Passed) Source Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i1.ff_inst/CLK", "phy_name":"SLICE_2/CLK" }, "path_sections":[ { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":0.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":1.238, "delay":1.238 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":1.767, "delay":0.529 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":1.767, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":2.878, "delay":1.111 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.878, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Clk top CLOCK LATENCY 0.000 0.000 1 Clk NET DELAY 0.000 0.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.238 1.238 3 Clk_c NET DELAY 0.529 1.767 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 1.767 13 clk2 NET DELAY 1.111 2.878 13 {CNT2_e3_e3_e3_20__i1.ff_inst/CLK CNT2_e3_e3_e3_20__i2.ff_inst/CLK} CLOCK PIN 0.000 2.878 1 Data Path { "path_begin": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i1.ff_inst/Q", "phy_name":"SLICE_2/Q0" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i4.ff_inst/DF", "phy_name":"SLICE_0/DI1" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20__i1.ff_inst/CLK", "phy_name":"SLICE_2/CLK" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20__i1.ff_inst/Q", "phy_name":"SLICE_2/Q0" }, "arrive":3.183, "delay":0.305 }, { "type":"net_delay", "net": { "log_name":"CNT2[1]", "phy_name":"CNT2[1]" }, "arrive":3.466, "delay":0.283 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_3/B0", "phy_name":"SLICE_2/B0" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_3/COUT", "phy_name":"SLICE_2/FCO" }, "arrive":3.783, "delay":0.317 }, { "type":"net_delay", "net": { "log_name":"n135", "phy_name":"n135" }, "arrive":3.783, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_5/CIN", "phy_name":"SLICE_0/FCI" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_5/S1", "phy_name":"SLICE_0/F1" }, "arrive":4.064, "delay":0.281 }, { "type":"net_delay", "net": { "log_name":"CNT2_7__N_3[4]", "phy_name":"CNT2_7__N_3[4]" }, "arrive":4.064, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":4.064, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ CNT2_e3_e3_e3_20__i1.ff_inst/CLK->CNT2_e3_e3_e3_20__i1.ff_inst/Q SLICE_R3C67B REG_DEL 0.305 3.183 2 CNT2[1] NET DELAY 0.283 3.466 2 CNT2_e3_e3_e3_20_add_4_3/B0->CNT2_e3_e3_e3_20_add_4_3/COUT SLICE_R3C67B C0TOFCO_DEL 0.317 3.783 1 n135 NET DELAY 0.000 3.783 1 CNT2_e3_e3_e3_20_add_4_5/CIN->CNT2_e3_e3_e3_20_add_4_5/S1 SLICE_R3C67C FCITOF1_DEL 0.281 4.064 1 CNT2_7__N_3[4] NET DELAY 0.000 4.064 1 CNT2_e3_e3_e3_20__i4.ff_inst/DF ENDPOINT 0.000 4.064 1 Destination Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i3.ff_inst/CLK", "phy_name":"SLICE_0/CLK" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":40.000, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":40.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":41.238, "delay":1.238 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":41.754, "delay":0.516 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":41.754, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":42.819, "delay":1.065 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":42.819, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ CONSTRAINT 0.000 40.000 1 Clk top CLOCK LATENCY 0.000 40.000 1 Clk NET DELAY 0.000 40.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.238 41.238 3 Clk_c NET DELAY 0.516 41.754 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 41.754 13 clk2 NET DELAY 1.065 42.819 13 {CNT2_e3_e3_e3_20__i3.ff_inst/CLK CNT2_e3_e3_e3_20__i4.ff_inst/CLK} CLOCK PIN 0.000 42.819 1 Uncertainty -(0.000) 42.819 Common Path Skew 0.053 42.872 Setup time -(-0.057) 42.929 ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Required Time 42.929 Arrival Time -(4.064) ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Path Slack (Passed) 38.865 ++++ Path 17 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Path Begin : CNT2_e3_e3_e3_20__i1.ff_inst/Q (SLICE_R3C67B) Path End : CNT2_e3_e3_e3_20__i5.ff_inst/DF (SLICE_R3C67D) Source Clock : CLK2 (R) Destination Clock: CLK2 (R) Logic Level : 4 Delay Ratio : 24.3% (route), 75.7% (logic) Clock Skew : -0.059 ns Setup Constraint : 40.000 ns Common Path Skew : 0.053 ns Path Slack : 38.885 ns (Passed) Source Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i1.ff_inst/CLK", "phy_name":"SLICE_2/CLK" }, "path_sections":[ { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":0.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":1.238, "delay":1.238 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":1.767, "delay":0.529 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":1.767, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":2.878, "delay":1.111 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.878, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Clk top CLOCK LATENCY 0.000 0.000 1 Clk NET DELAY 0.000 0.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.238 1.238 3 Clk_c NET DELAY 0.529 1.767 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 1.767 13 clk2 NET DELAY 1.111 2.878 13 {CNT2_e3_e3_e3_20__i1.ff_inst/CLK CNT2_e3_e3_e3_20__i2.ff_inst/CLK} CLOCK PIN 0.000 2.878 1 Data Path { "path_begin": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i1.ff_inst/Q", "phy_name":"SLICE_2/Q0" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i5.ff_inst/DF", "phy_name":"SLICE_3/DI0" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20__i1.ff_inst/CLK", "phy_name":"SLICE_2/CLK" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20__i1.ff_inst/Q", "phy_name":"SLICE_2/Q0" }, "arrive":3.183, "delay":0.305 }, { "type":"net_delay", "net": { "log_name":"CNT2[1]", "phy_name":"CNT2[1]" }, "arrive":3.466, "delay":0.283 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_3/B0", "phy_name":"SLICE_2/B0" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_3/COUT", "phy_name":"SLICE_2/FCO" }, "arrive":3.783, "delay":0.317 }, { "type":"net_delay", "net": { "log_name":"n135", "phy_name":"n135" }, "arrive":3.783, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_5/CIN", "phy_name":"SLICE_0/FCI" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_5/COUT", "phy_name":"SLICE_0/FCO" }, "arrive":3.837, "delay":0.054 }, { "type":"net_delay", "net": { "log_name":"n136", "phy_name":"n136" }, "arrive":3.837, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_7/CIN", "phy_name":"SLICE_3/FCI" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_7/S0", "phy_name":"SLICE_3/F0" }, "arrive":4.045, "delay":0.208 }, { "type":"net_delay", "net": { "log_name":"CNT2_7__N_3[5]", "phy_name":"CNT2_7__N_3[5]" }, "arrive":4.045, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":4.045, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ CNT2_e3_e3_e3_20__i1.ff_inst/CLK->CNT2_e3_e3_e3_20__i1.ff_inst/Q SLICE_R3C67B REG_DEL 0.305 3.183 2 CNT2[1] NET DELAY 0.283 3.466 2 CNT2_e3_e3_e3_20_add_4_3/B0->CNT2_e3_e3_e3_20_add_4_3/COUT SLICE_R3C67B C0TOFCO_DEL 0.317 3.783 1 n135 NET DELAY 0.000 3.783 1 CNT2_e3_e3_e3_20_add_4_5/CIN->CNT2_e3_e3_e3_20_add_4_5/COUT SLICE_R3C67C FCITOFCO_DEL 0.054 3.837 1 n136 NET DELAY 0.000 3.837 1 CNT2_e3_e3_e3_20_add_4_7/CIN->CNT2_e3_e3_e3_20_add_4_7/S0 SLICE_R3C67D FCITOF0_DEL 0.208 4.045 1 CNT2_7__N_3[5] NET DELAY 0.000 4.045 1 CNT2_e3_e3_e3_20__i5.ff_inst/DF ENDPOINT 0.000 4.045 1 Destination Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i5.ff_inst/CLK", "phy_name":"SLICE_3/CLK" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":40.000, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":40.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":41.238, "delay":1.238 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":41.754, "delay":0.516 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":41.754, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":42.819, "delay":1.065 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":42.819, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ CONSTRAINT 0.000 40.000 1 Clk top CLOCK LATENCY 0.000 40.000 1 Clk NET DELAY 0.000 40.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.238 41.238 3 Clk_c NET DELAY 0.516 41.754 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 41.754 13 clk2 NET DELAY 1.065 42.819 13 {CNT2_e3_e3_e3_20__i5.ff_inst/CLK CNT2_e3_e3_e3_20__i6.ff_inst/CLK} CLOCK PIN 0.000 42.819 1 Uncertainty -(0.000) 42.819 Common Path Skew 0.053 42.872 Setup time -(-0.058) 42.930 ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Required Time 42.930 Arrival Time -(4.045) ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Path Slack (Passed) 38.885 ++++ Path 18 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Path Begin : CNT2_e3_e3_e3_20__i0.ff_inst/Q (SLICE_R3C67A) Path End : CNT2_e3_e3_e3_20__i6.ff_inst/DF (SLICE_R3C67D) Source Clock : CLK2 (R) Destination Clock: CLK2 (R) Logic Level : 5 Delay Ratio : 13.0% (route), 87.0% (logic) Clock Skew : -0.059 ns Setup Constraint : 40.000 ns Common Path Skew : 0.053 ns Path Slack : 38.887 ns (Passed) Source Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i0.ff_inst/CLK", "phy_name":"SLICE_1/CLK" }, "path_sections":[ { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":0.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":1.238, "delay":1.238 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":1.767, "delay":0.529 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":1.767, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":2.878, "delay":1.111 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.878, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Clk top CLOCK LATENCY 0.000 0.000 1 Clk NET DELAY 0.000 0.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.238 1.238 3 Clk_c NET DELAY 0.529 1.767 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 1.767 13 clk2 NET DELAY 1.111 2.878 13 CNT2_e3_e3_e3_20__i0.ff_inst/CLK CLOCK PIN 0.000 2.878 1 Data Path { "path_begin": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i0.ff_inst/Q", "phy_name":"SLICE_1/Q1" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i6.ff_inst/DF", "phy_name":"SLICE_3/DI1" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20__i0.ff_inst/CLK", "phy_name":"SLICE_1/CLK" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20__i0.ff_inst/Q", "phy_name":"SLICE_1/Q1" }, "arrive":3.186, "delay":0.308 }, { "type":"net_delay", "net": { "log_name":"CNT2[0]", "phy_name":"CNT2[0]" }, "arrive":3.336, "delay":0.150 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_cin/B1", "phy_name":"SLICE_1/B1" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_cin/COUT", "phy_name":"SLICE_1/FCO" }, "arrive":3.653, "delay":0.317 }, { "type":"net_delay", "net": { "log_name":"n134", "phy_name":"n134" }, "arrive":3.653, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_3/CIN", "phy_name":"SLICE_2/FCI" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_3/COUT", "phy_name":"SLICE_2/FCO" }, "arrive":3.707, "delay":0.046 }, { "type":"net_delay", "net": { "log_name":"n135", "phy_name":"n135" }, "arrive":3.707, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_5/CIN", "phy_name":"SLICE_0/FCI" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_5/COUT", "phy_name":"SLICE_0/FCO" }, "arrive":3.761, "delay":0.054 }, { "type":"net_delay", "net": { "log_name":"n136", "phy_name":"n136" }, "arrive":3.761, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_7/CIN", "phy_name":"SLICE_3/FCI" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_7/S1", "phy_name":"SLICE_3/F1" }, "arrive":4.042, "delay":0.281 }, { "type":"net_delay", "net": { "log_name":"CNT2_7__N_3[6]", "phy_name":"CNT2_7__N_3[6]" }, "arrive":4.042, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":4.042, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ CNT2_e3_e3_e3_20__i0.ff_inst/CLK->CNT2_e3_e3_e3_20__i0.ff_inst/Q SLICE_R3C67A REG_DEL 0.308 3.186 2 CNT2[0] NET DELAY 0.150 3.336 2 CNT2_e3_e3_e3_20_add_4_cin/B1->CNT2_e3_e3_e3_20_add_4_cin/COUT SLICE_R3C67A C1TOFCO_DEL 0.317 3.653 1 n134 NET DELAY 0.000 3.653 1 CNT2_e3_e3_e3_20_add_4_3/CIN->CNT2_e3_e3_e3_20_add_4_3/COUT SLICE_R3C67B FCITOFCO_DEL 0.046 3.707 1 n135 NET DELAY 0.000 3.707 1 CNT2_e3_e3_e3_20_add_4_5/CIN->CNT2_e3_e3_e3_20_add_4_5/COUT SLICE_R3C67C FCITOFCO_DEL 0.054 3.761 1 n136 NET DELAY 0.000 3.761 1 CNT2_e3_e3_e3_20_add_4_7/CIN->CNT2_e3_e3_e3_20_add_4_7/S1 SLICE_R3C67D FCITOF1_DEL 0.281 4.042 1 CNT2_7__N_3[6] NET DELAY 0.000 4.042 1 CNT2_e3_e3_e3_20__i6.ff_inst/DF ENDPOINT 0.000 4.042 1 Destination Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i5.ff_inst/CLK", "phy_name":"SLICE_3/CLK" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":40.000, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":40.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":41.238, "delay":1.238 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":41.754, "delay":0.516 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":41.754, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":42.819, "delay":1.065 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":42.819, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ CONSTRAINT 0.000 40.000 1 Clk top CLOCK LATENCY 0.000 40.000 1 Clk NET DELAY 0.000 40.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.238 41.238 3 Clk_c NET DELAY 0.516 41.754 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 41.754 13 clk2 NET DELAY 1.065 42.819 13 {CNT2_e3_e3_e3_20__i5.ff_inst/CLK CNT2_e3_e3_e3_20__i6.ff_inst/CLK} CLOCK PIN 0.000 42.819 1 Uncertainty -(0.000) 42.819 Common Path Skew 0.053 42.872 Setup time -(-0.057) 42.929 ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Required Time 42.929 Arrival Time -(4.042) ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Path Slack (Passed) 38.887 ++++ Path 19 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Path Begin : CNT2_e3_e3_e3_20__i0.ff_inst/Q (SLICE_R3C67A) Path End : CNT2_e3_e3_e3_20__i7.ff_inst/DF (SLICE_R3C68A) Source Clock : CLK2 (R) Destination Clock: CLK2 (R) Logic Level : 6 Delay Ratio : 13.2% (route), 86.8% (logic) Clock Skew : -0.059 ns Setup Constraint : 40.000 ns Common Path Skew : 0.053 ns Path Slack : 38.907 ns (Passed) Source Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i0.ff_inst/CLK", "phy_name":"SLICE_1/CLK" }, "path_sections":[ { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":0.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":1.238, "delay":1.238 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":1.767, "delay":0.529 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":1.767, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":2.878, "delay":1.111 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.878, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Clk top CLOCK LATENCY 0.000 0.000 1 Clk NET DELAY 0.000 0.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.238 1.238 3 Clk_c NET DELAY 0.529 1.767 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 1.767 13 clk2 NET DELAY 1.111 2.878 13 CNT2_e3_e3_e3_20__i0.ff_inst/CLK CLOCK PIN 0.000 2.878 1 Data Path { "path_begin": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i0.ff_inst/Q", "phy_name":"SLICE_1/Q1" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i7.ff_inst/DF", "phy_name":"SLICE_4/DI0" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20__i0.ff_inst/CLK", "phy_name":"SLICE_1/CLK" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20__i0.ff_inst/Q", "phy_name":"SLICE_1/Q1" }, "arrive":3.186, "delay":0.308 }, { "type":"net_delay", "net": { "log_name":"CNT2[0]", "phy_name":"CNT2[0]" }, "arrive":3.336, "delay":0.150 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_cin/B1", "phy_name":"SLICE_1/B1" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_cin/COUT", "phy_name":"SLICE_1/FCO" }, "arrive":3.653, "delay":0.317 }, { "type":"net_delay", "net": { "log_name":"n134", "phy_name":"n134" }, "arrive":3.653, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_3/CIN", "phy_name":"SLICE_2/FCI" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_3/COUT", "phy_name":"SLICE_2/FCO" }, "arrive":3.707, "delay":0.046 }, { "type":"net_delay", "net": { "log_name":"n135", "phy_name":"n135" }, "arrive":3.707, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_5/CIN", "phy_name":"SLICE_0/FCI" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_5/COUT", "phy_name":"SLICE_0/FCO" }, "arrive":3.761, "delay":0.054 }, { "type":"net_delay", "net": { "log_name":"n136", "phy_name":"n136" }, "arrive":3.761, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_7/CIN", "phy_name":"SLICE_3/FCI" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_7/COUT", "phy_name":"SLICE_3/FCO" }, "arrive":3.815, "delay":0.054 }, { "type":"net_delay", "net": { "log_name":"n137", "phy_name":"n137" }, "arrive":3.815, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_9/CIN", "phy_name":"SLICE_4/FCI" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_9/S0", "phy_name":"SLICE_4/F0" }, "arrive":4.023, "delay":0.208 }, { "type":"net_delay", "net": { "log_name":"CNT2_7__N_3[7]", "phy_name":"CNT2_7__N_3[7]" }, "arrive":4.023, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":4.023, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ CNT2_e3_e3_e3_20__i0.ff_inst/CLK->CNT2_e3_e3_e3_20__i0.ff_inst/Q SLICE_R3C67A REG_DEL 0.308 3.186 2 CNT2[0] NET DELAY 0.150 3.336 2 CNT2_e3_e3_e3_20_add_4_cin/B1->CNT2_e3_e3_e3_20_add_4_cin/COUT SLICE_R3C67A C1TOFCO_DEL 0.317 3.653 1 n134 NET DELAY 0.000 3.653 1 CNT2_e3_e3_e3_20_add_4_3/CIN->CNT2_e3_e3_e3_20_add_4_3/COUT SLICE_R3C67B FCITOFCO_DEL 0.046 3.707 1 n135 NET DELAY 0.000 3.707 1 CNT2_e3_e3_e3_20_add_4_5/CIN->CNT2_e3_e3_e3_20_add_4_5/COUT SLICE_R3C67C FCITOFCO_DEL 0.054 3.761 1 n136 NET DELAY 0.000 3.761 1 CNT2_e3_e3_e3_20_add_4_7/CIN->CNT2_e3_e3_e3_20_add_4_7/COUT SLICE_R3C67D FCITOFCO_DEL 0.054 3.815 1 n137 NET DELAY 0.000 3.815 1 CNT2_e3_e3_e3_20_add_4_9/CIN->CNT2_e3_e3_e3_20_add_4_9/S0 SLICE_R3C68A FCITOF0_DEL 0.208 4.023 1 CNT2_7__N_3[7] NET DELAY 0.000 4.023 1 CNT2_e3_e3_e3_20__i7.ff_inst/DF ENDPOINT 0.000 4.023 1 Destination Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i7.ff_inst/CLK", "phy_name":"SLICE_4/CLK" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":40.000, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":40.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":41.238, "delay":1.238 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":41.754, "delay":0.516 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":41.754, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":42.819, "delay":1.065 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":42.819, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ CONSTRAINT 0.000 40.000 1 Clk top CLOCK LATENCY 0.000 40.000 1 Clk NET DELAY 0.000 40.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.238 41.238 3 Clk_c NET DELAY 0.516 41.754 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 41.754 13 clk2 NET DELAY 1.065 42.819 13 CNT2_e3_e3_e3_20__i7.ff_inst/CLK CLOCK PIN 0.000 42.819 1 Uncertainty -(0.000) 42.819 Common Path Skew 0.053 42.872 Setup time -(-0.058) 42.930 ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Required Time 42.930 Arrival Time -(4.023) ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Path Slack (Passed) 38.907 ++++ Path 20 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Path Begin : CNT2_e3_e3_e3_20__i1.ff_inst/Q (SLICE_R3C67B) Path End : CNT2_e3_e3_e3_20__i3.ff_inst/DF (SLICE_R3C67C) Source Clock : CLK2 (R) Destination Clock: CLK2 (R) Logic Level : 3 Delay Ratio : 25.4% (route), 74.6% (logic) Clock Skew : -0.059 ns Setup Constraint : 40.000 ns Common Path Skew : 0.053 ns Path Slack : 38.939 ns (Passed) Source Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i1.ff_inst/CLK", "phy_name":"SLICE_2/CLK" }, "path_sections":[ { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":0.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":1.238, "delay":1.238 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":1.767, "delay":0.529 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":1.767, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":2.878, "delay":1.111 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.878, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Clk top CLOCK LATENCY 0.000 0.000 1 Clk NET DELAY 0.000 0.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.238 1.238 3 Clk_c NET DELAY 0.529 1.767 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 1.767 13 clk2 NET DELAY 1.111 2.878 13 {CNT2_e3_e3_e3_20__i1.ff_inst/CLK CNT2_e3_e3_e3_20__i2.ff_inst/CLK} CLOCK PIN 0.000 2.878 1 Data Path { "path_begin": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i1.ff_inst/Q", "phy_name":"SLICE_2/Q0" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i3.ff_inst/DF", "phy_name":"SLICE_0/DI0" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20__i1.ff_inst/CLK", "phy_name":"SLICE_2/CLK" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20__i1.ff_inst/Q", "phy_name":"SLICE_2/Q0" }, "arrive":3.183, "delay":0.305 }, { "type":"net_delay", "net": { "log_name":"CNT2[1]", "phy_name":"CNT2[1]" }, "arrive":3.466, "delay":0.283 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_3/B0", "phy_name":"SLICE_2/B0" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_3/COUT", "phy_name":"SLICE_2/FCO" }, "arrive":3.783, "delay":0.317 }, { "type":"net_delay", "net": { "log_name":"n135", "phy_name":"n135" }, "arrive":3.783, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_5/CIN", "phy_name":"SLICE_0/FCI" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_5/S0", "phy_name":"SLICE_0/F0" }, "arrive":3.991, "delay":0.208 }, { "type":"net_delay", "net": { "log_name":"CNT2_7__N_3[3]", "phy_name":"CNT2_7__N_3[3]" }, "arrive":3.991, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":3.991, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ CNT2_e3_e3_e3_20__i1.ff_inst/CLK->CNT2_e3_e3_e3_20__i1.ff_inst/Q SLICE_R3C67B REG_DEL 0.305 3.183 2 CNT2[1] NET DELAY 0.283 3.466 2 CNT2_e3_e3_e3_20_add_4_3/B0->CNT2_e3_e3_e3_20_add_4_3/COUT SLICE_R3C67B C0TOFCO_DEL 0.317 3.783 1 n135 NET DELAY 0.000 3.783 1 CNT2_e3_e3_e3_20_add_4_5/CIN->CNT2_e3_e3_e3_20_add_4_5/S0 SLICE_R3C67C FCITOF0_DEL 0.208 3.991 1 CNT2_7__N_3[3] NET DELAY 0.000 3.991 1 CNT2_e3_e3_e3_20__i3.ff_inst/DF ENDPOINT 0.000 3.991 1 Destination Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i3.ff_inst/CLK", "phy_name":"SLICE_0/CLK" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":40.000, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":40.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":41.238, "delay":1.238 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":41.754, "delay":0.516 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":41.754, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":42.819, "delay":1.065 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":42.819, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ CONSTRAINT 0.000 40.000 1 Clk top CLOCK LATENCY 0.000 40.000 1 Clk NET DELAY 0.000 40.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.238 41.238 3 Clk_c NET DELAY 0.516 41.754 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 41.754 13 clk2 NET DELAY 1.065 42.819 13 {CNT2_e3_e3_e3_20__i3.ff_inst/CLK CNT2_e3_e3_e3_20__i4.ff_inst/CLK} CLOCK PIN 0.000 42.819 1 Uncertainty -(0.000) 42.819 Common Path Skew 0.053 42.872 Setup time -(-0.058) 42.930 ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Required Time 42.930 Arrival Time -(3.991) ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Path Slack (Passed) 38.939 ++++ Path 21 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Path Begin : CNT2_e3_e3_e3_20__i0.ff_inst/Q (SLICE_R3C67A) Path End : CNT2_e3_e3_e3_20__i4.ff_inst/DF (SLICE_R3C67C) Source Clock : CLK2 (R) Destination Clock: CLK2 (R) Logic Level : 4 Delay Ratio : 13.6% (route), 86.4% (logic) Clock Skew : -0.059 ns Setup Constraint : 40.000 ns Common Path Skew : 0.053 ns Path Slack : 38.941 ns (Passed) Source Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i0.ff_inst/CLK", "phy_name":"SLICE_1/CLK" }, "path_sections":[ { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":0.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":1.238, "delay":1.238 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":1.767, "delay":0.529 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":1.767, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":2.878, "delay":1.111 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.878, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Clk top CLOCK LATENCY 0.000 0.000 1 Clk NET DELAY 0.000 0.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.238 1.238 3 Clk_c NET DELAY 0.529 1.767 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 1.767 13 clk2 NET DELAY 1.111 2.878 13 CNT2_e3_e3_e3_20__i0.ff_inst/CLK CLOCK PIN 0.000 2.878 1 Data Path { "path_begin": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i0.ff_inst/Q", "phy_name":"SLICE_1/Q1" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i4.ff_inst/DF", "phy_name":"SLICE_0/DI1" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20__i0.ff_inst/CLK", "phy_name":"SLICE_1/CLK" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20__i0.ff_inst/Q", "phy_name":"SLICE_1/Q1" }, "arrive":3.186, "delay":0.308 }, { "type":"net_delay", "net": { "log_name":"CNT2[0]", "phy_name":"CNT2[0]" }, "arrive":3.336, "delay":0.150 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_cin/B1", "phy_name":"SLICE_1/B1" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_cin/COUT", "phy_name":"SLICE_1/FCO" }, "arrive":3.653, "delay":0.317 }, { "type":"net_delay", "net": { "log_name":"n134", "phy_name":"n134" }, "arrive":3.653, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_3/CIN", "phy_name":"SLICE_2/FCI" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_3/COUT", "phy_name":"SLICE_2/FCO" }, "arrive":3.707, "delay":0.046 }, { "type":"net_delay", "net": { "log_name":"n135", "phy_name":"n135" }, "arrive":3.707, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_5/CIN", "phy_name":"SLICE_0/FCI" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_5/S1", "phy_name":"SLICE_0/F1" }, "arrive":3.988, "delay":0.281 }, { "type":"net_delay", "net": { "log_name":"CNT2_7__N_3[4]", "phy_name":"CNT2_7__N_3[4]" }, "arrive":3.988, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":3.988, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ CNT2_e3_e3_e3_20__i0.ff_inst/CLK->CNT2_e3_e3_e3_20__i0.ff_inst/Q SLICE_R3C67A REG_DEL 0.308 3.186 2 CNT2[0] NET DELAY 0.150 3.336 2 CNT2_e3_e3_e3_20_add_4_cin/B1->CNT2_e3_e3_e3_20_add_4_cin/COUT SLICE_R3C67A C1TOFCO_DEL 0.317 3.653 1 n134 NET DELAY 0.000 3.653 1 CNT2_e3_e3_e3_20_add_4_3/CIN->CNT2_e3_e3_e3_20_add_4_3/COUT SLICE_R3C67B FCITOFCO_DEL 0.046 3.707 1 n135 NET DELAY 0.000 3.707 1 CNT2_e3_e3_e3_20_add_4_5/CIN->CNT2_e3_e3_e3_20_add_4_5/S1 SLICE_R3C67C FCITOF1_DEL 0.281 3.988 1 CNT2_7__N_3[4] NET DELAY 0.000 3.988 1 CNT2_e3_e3_e3_20__i4.ff_inst/DF ENDPOINT 0.000 3.988 1 Destination Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i3.ff_inst/CLK", "phy_name":"SLICE_0/CLK" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":40.000, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":40.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":41.238, "delay":1.238 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":41.754, "delay":0.516 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":41.754, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":42.819, "delay":1.065 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":42.819, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ CONSTRAINT 0.000 40.000 1 Clk top CLOCK LATENCY 0.000 40.000 1 Clk NET DELAY 0.000 40.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.238 41.238 3 Clk_c NET DELAY 0.516 41.754 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 41.754 13 clk2 NET DELAY 1.065 42.819 13 {CNT2_e3_e3_e3_20__i3.ff_inst/CLK CNT2_e3_e3_e3_20__i4.ff_inst/CLK} CLOCK PIN 0.000 42.819 1 Uncertainty -(0.000) 42.819 Common Path Skew 0.053 42.872 Setup time -(-0.057) 42.929 ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Required Time 42.929 Arrival Time -(3.988) ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Path Slack (Passed) 38.941 ++++ Path 22 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Path Begin : CNT2_e3_e3_e3_20__i2.ff_inst/Q (SLICE_R3C67B) Path End : CNT2_e3_e3_e3_20__i6.ff_inst/DF (SLICE_R3C67D) Source Clock : CLK2 (R) Destination Clock: CLK2 (R) Logic Level : 4 Delay Ratio : 13.5% (route), 86.5% (logic) Clock Skew : -0.059 ns Setup Constraint : 40.000 ns Common Path Skew : 0.053 ns Path Slack : 38.941 ns (Passed) Source Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i1.ff_inst/CLK", "phy_name":"SLICE_2/CLK" }, "path_sections":[ { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":0.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":1.238, "delay":1.238 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":1.767, "delay":0.529 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":1.767, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":2.878, "delay":1.111 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.878, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Clk top CLOCK LATENCY 0.000 0.000 1 Clk NET DELAY 0.000 0.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.238 1.238 3 Clk_c NET DELAY 0.529 1.767 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 1.767 13 clk2 NET DELAY 1.111 2.878 13 {CNT2_e3_e3_e3_20__i1.ff_inst/CLK CNT2_e3_e3_e3_20__i2.ff_inst/CLK} CLOCK PIN 0.000 2.878 1 Data Path { "path_begin": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i2.ff_inst/Q", "phy_name":"SLICE_2/Q1" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i6.ff_inst/DF", "phy_name":"SLICE_3/DI1" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20__i2.ff_inst/CLK", "phy_name":"SLICE_2/CLK" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20__i2.ff_inst/Q", "phy_name":"SLICE_2/Q1" }, "arrive":3.186, "delay":0.308 }, { "type":"net_delay", "net": { "log_name":"CNT2[2]", "phy_name":"CNT2[2]" }, "arrive":3.336, "delay":0.150 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_3/B1", "phy_name":"SLICE_2/B1" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_3/COUT", "phy_name":"SLICE_2/FCO" }, "arrive":3.653, "delay":0.317 }, { "type":"net_delay", "net": { "log_name":"n135", "phy_name":"n135" }, "arrive":3.653, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_5/CIN", "phy_name":"SLICE_0/FCI" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_5/COUT", "phy_name":"SLICE_0/FCO" }, "arrive":3.707, "delay":0.054 }, { "type":"net_delay", "net": { "log_name":"n136", "phy_name":"n136" }, "arrive":3.707, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_7/CIN", "phy_name":"SLICE_3/FCI" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_7/S1", "phy_name":"SLICE_3/F1" }, "arrive":3.988, "delay":0.281 }, { "type":"net_delay", "net": { "log_name":"CNT2_7__N_3[6]", "phy_name":"CNT2_7__N_3[6]" }, "arrive":3.988, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":3.988, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ CNT2_e3_e3_e3_20__i2.ff_inst/CLK->CNT2_e3_e3_e3_20__i2.ff_inst/Q SLICE_R3C67B REG_DEL 0.308 3.186 2 CNT2[2] NET DELAY 0.150 3.336 2 CNT2_e3_e3_e3_20_add_4_3/B1->CNT2_e3_e3_e3_20_add_4_3/COUT SLICE_R3C67B C1TOFCO_DEL 0.317 3.653 1 n135 NET DELAY 0.000 3.653 1 CNT2_e3_e3_e3_20_add_4_5/CIN->CNT2_e3_e3_e3_20_add_4_5/COUT SLICE_R3C67C FCITOFCO_DEL 0.054 3.707 1 n136 NET DELAY 0.000 3.707 1 CNT2_e3_e3_e3_20_add_4_7/CIN->CNT2_e3_e3_e3_20_add_4_7/S1 SLICE_R3C67D FCITOF1_DEL 0.281 3.988 1 CNT2_7__N_3[6] NET DELAY 0.000 3.988 1 CNT2_e3_e3_e3_20__i6.ff_inst/DF ENDPOINT 0.000 3.988 1 Destination Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i5.ff_inst/CLK", "phy_name":"SLICE_3/CLK" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":40.000, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":40.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":41.238, "delay":1.238 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":41.754, "delay":0.516 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":41.754, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":42.819, "delay":1.065 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":42.819, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ CONSTRAINT 0.000 40.000 1 Clk top CLOCK LATENCY 0.000 40.000 1 Clk NET DELAY 0.000 40.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.238 41.238 3 Clk_c NET DELAY 0.516 41.754 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 41.754 13 clk2 NET DELAY 1.065 42.819 13 {CNT2_e3_e3_e3_20__i5.ff_inst/CLK CNT2_e3_e3_e3_20__i6.ff_inst/CLK} CLOCK PIN 0.000 42.819 1 Uncertainty -(0.000) 42.819 Common Path Skew 0.053 42.872 Setup time -(-0.057) 42.929 ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Required Time 42.929 Arrival Time -(3.988) ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Path Slack (Passed) 38.941 ++++ Path 23 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Path Begin : CNT2_e3_e3_e3_20__i0.ff_inst/Q (SLICE_R3C67A) Path End : CNT2_e3_e3_e3_20__i5.ff_inst/DF (SLICE_R3C67D) Source Clock : CLK2 (R) Destination Clock: CLK2 (R) Logic Level : 5 Delay Ratio : 13.9% (route), 86.1% (logic) Clock Skew : -0.059 ns Setup Constraint : 40.000 ns Common Path Skew : 0.053 ns Path Slack : 38.961 ns (Passed) Source Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i0.ff_inst/CLK", "phy_name":"SLICE_1/CLK" }, "path_sections":[ { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":0.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":1.238, "delay":1.238 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":1.767, "delay":0.529 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":1.767, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":2.878, "delay":1.111 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.878, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Clk top CLOCK LATENCY 0.000 0.000 1 Clk NET DELAY 0.000 0.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.238 1.238 3 Clk_c NET DELAY 0.529 1.767 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 1.767 13 clk2 NET DELAY 1.111 2.878 13 CNT2_e3_e3_e3_20__i0.ff_inst/CLK CLOCK PIN 0.000 2.878 1 Data Path { "path_begin": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i0.ff_inst/Q", "phy_name":"SLICE_1/Q1" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i5.ff_inst/DF", "phy_name":"SLICE_3/DI0" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20__i0.ff_inst/CLK", "phy_name":"SLICE_1/CLK" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20__i0.ff_inst/Q", "phy_name":"SLICE_1/Q1" }, "arrive":3.186, "delay":0.308 }, { "type":"net_delay", "net": { "log_name":"CNT2[0]", "phy_name":"CNT2[0]" }, "arrive":3.336, "delay":0.150 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_cin/B1", "phy_name":"SLICE_1/B1" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_cin/COUT", "phy_name":"SLICE_1/FCO" }, "arrive":3.653, "delay":0.317 }, { "type":"net_delay", "net": { "log_name":"n134", "phy_name":"n134" }, "arrive":3.653, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_3/CIN", "phy_name":"SLICE_2/FCI" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_3/COUT", "phy_name":"SLICE_2/FCO" }, "arrive":3.707, "delay":0.046 }, { "type":"net_delay", "net": { "log_name":"n135", "phy_name":"n135" }, "arrive":3.707, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_5/CIN", "phy_name":"SLICE_0/FCI" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_5/COUT", "phy_name":"SLICE_0/FCO" }, "arrive":3.761, "delay":0.054 }, { "type":"net_delay", "net": { "log_name":"n136", "phy_name":"n136" }, "arrive":3.761, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_7/CIN", "phy_name":"SLICE_3/FCI" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_7/S0", "phy_name":"SLICE_3/F0" }, "arrive":3.969, "delay":0.208 }, { "type":"net_delay", "net": { "log_name":"CNT2_7__N_3[5]", "phy_name":"CNT2_7__N_3[5]" }, "arrive":3.969, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":3.969, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ CNT2_e3_e3_e3_20__i0.ff_inst/CLK->CNT2_e3_e3_e3_20__i0.ff_inst/Q SLICE_R3C67A REG_DEL 0.308 3.186 2 CNT2[0] NET DELAY 0.150 3.336 2 CNT2_e3_e3_e3_20_add_4_cin/B1->CNT2_e3_e3_e3_20_add_4_cin/COUT SLICE_R3C67A C1TOFCO_DEL 0.317 3.653 1 n134 NET DELAY 0.000 3.653 1 CNT2_e3_e3_e3_20_add_4_3/CIN->CNT2_e3_e3_e3_20_add_4_3/COUT SLICE_R3C67B FCITOFCO_DEL 0.046 3.707 1 n135 NET DELAY 0.000 3.707 1 CNT2_e3_e3_e3_20_add_4_5/CIN->CNT2_e3_e3_e3_20_add_4_5/COUT SLICE_R3C67C FCITOFCO_DEL 0.054 3.761 1 n136 NET DELAY 0.000 3.761 1 CNT2_e3_e3_e3_20_add_4_7/CIN->CNT2_e3_e3_e3_20_add_4_7/S0 SLICE_R3C67D FCITOF0_DEL 0.208 3.969 1 CNT2_7__N_3[5] NET DELAY 0.000 3.969 1 CNT2_e3_e3_e3_20__i5.ff_inst/DF ENDPOINT 0.000 3.969 1 Destination Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i5.ff_inst/CLK", "phy_name":"SLICE_3/CLK" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":40.000, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":40.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":41.238, "delay":1.238 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":41.754, "delay":0.516 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":41.754, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":42.819, "delay":1.065 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":42.819, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ CONSTRAINT 0.000 40.000 1 Clk top CLOCK LATENCY 0.000 40.000 1 Clk NET DELAY 0.000 40.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.238 41.238 3 Clk_c NET DELAY 0.516 41.754 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 41.754 13 clk2 NET DELAY 1.065 42.819 13 {CNT2_e3_e3_e3_20__i5.ff_inst/CLK CNT2_e3_e3_e3_20__i6.ff_inst/CLK} CLOCK PIN 0.000 42.819 1 Uncertainty -(0.000) 42.819 Common Path Skew 0.053 42.872 Setup time -(-0.058) 42.930 ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Required Time 42.930 Arrival Time -(3.969) ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Path Slack (Passed) 38.961 ++++ Path 24 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Path Begin : CNT2_e3_e3_e3_20__i2.ff_inst/Q (SLICE_R3C67B) Path End : CNT2_e3_e3_e3_20__i7.ff_inst/DF (SLICE_R3C68A) Source Clock : CLK2 (R) Destination Clock: CLK2 (R) Logic Level : 5 Delay Ratio : 13.7% (route), 86.3% (logic) Clock Skew : -0.059 ns Setup Constraint : 40.000 ns Common Path Skew : 0.053 ns Path Slack : 38.961 ns (Passed) Source Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i1.ff_inst/CLK", "phy_name":"SLICE_2/CLK" }, "path_sections":[ { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":0.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":1.238, "delay":1.238 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":1.767, "delay":0.529 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":1.767, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":2.878, "delay":1.111 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.878, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Clk top CLOCK LATENCY 0.000 0.000 1 Clk NET DELAY 0.000 0.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.238 1.238 3 Clk_c NET DELAY 0.529 1.767 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 1.767 13 clk2 NET DELAY 1.111 2.878 13 {CNT2_e3_e3_e3_20__i1.ff_inst/CLK CNT2_e3_e3_e3_20__i2.ff_inst/CLK} CLOCK PIN 0.000 2.878 1 Data Path { "path_begin": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i2.ff_inst/Q", "phy_name":"SLICE_2/Q1" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i7.ff_inst/DF", "phy_name":"SLICE_4/DI0" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20__i2.ff_inst/CLK", "phy_name":"SLICE_2/CLK" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20__i2.ff_inst/Q", "phy_name":"SLICE_2/Q1" }, "arrive":3.186, "delay":0.308 }, { "type":"net_delay", "net": { "log_name":"CNT2[2]", "phy_name":"CNT2[2]" }, "arrive":3.336, "delay":0.150 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_3/B1", "phy_name":"SLICE_2/B1" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_3/COUT", "phy_name":"SLICE_2/FCO" }, "arrive":3.653, "delay":0.317 }, { "type":"net_delay", "net": { "log_name":"n135", "phy_name":"n135" }, "arrive":3.653, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_5/CIN", "phy_name":"SLICE_0/FCI" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_5/COUT", "phy_name":"SLICE_0/FCO" }, "arrive":3.707, "delay":0.054 }, { "type":"net_delay", "net": { "log_name":"n136", "phy_name":"n136" }, "arrive":3.707, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_7/CIN", "phy_name":"SLICE_3/FCI" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_7/COUT", "phy_name":"SLICE_3/FCO" }, "arrive":3.761, "delay":0.054 }, { "type":"net_delay", "net": { "log_name":"n137", "phy_name":"n137" }, "arrive":3.761, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_9/CIN", "phy_name":"SLICE_4/FCI" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_9/S0", "phy_name":"SLICE_4/F0" }, "arrive":3.969, "delay":0.208 }, { "type":"net_delay", "net": { "log_name":"CNT2_7__N_3[7]", "phy_name":"CNT2_7__N_3[7]" }, "arrive":3.969, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":3.969, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ CNT2_e3_e3_e3_20__i2.ff_inst/CLK->CNT2_e3_e3_e3_20__i2.ff_inst/Q SLICE_R3C67B REG_DEL 0.308 3.186 2 CNT2[2] NET DELAY 0.150 3.336 2 CNT2_e3_e3_e3_20_add_4_3/B1->CNT2_e3_e3_e3_20_add_4_3/COUT SLICE_R3C67B C1TOFCO_DEL 0.317 3.653 1 n135 NET DELAY 0.000 3.653 1 CNT2_e3_e3_e3_20_add_4_5/CIN->CNT2_e3_e3_e3_20_add_4_5/COUT SLICE_R3C67C FCITOFCO_DEL 0.054 3.707 1 n136 NET DELAY 0.000 3.707 1 CNT2_e3_e3_e3_20_add_4_7/CIN->CNT2_e3_e3_e3_20_add_4_7/COUT SLICE_R3C67D FCITOFCO_DEL 0.054 3.761 1 n137 NET DELAY 0.000 3.761 1 CNT2_e3_e3_e3_20_add_4_9/CIN->CNT2_e3_e3_e3_20_add_4_9/S0 SLICE_R3C68A FCITOF0_DEL 0.208 3.969 1 CNT2_7__N_3[7] NET DELAY 0.000 3.969 1 CNT2_e3_e3_e3_20__i7.ff_inst/DF ENDPOINT 0.000 3.969 1 Destination Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i7.ff_inst/CLK", "phy_name":"SLICE_4/CLK" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":40.000, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":40.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":41.238, "delay":1.238 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":41.754, "delay":0.516 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":41.754, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":42.819, "delay":1.065 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":42.819, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ CONSTRAINT 0.000 40.000 1 Clk top CLOCK LATENCY 0.000 40.000 1 Clk NET DELAY 0.000 40.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.238 41.238 3 Clk_c NET DELAY 0.516 41.754 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 41.754 13 clk2 NET DELAY 1.065 42.819 13 CNT2_e3_e3_e3_20__i7.ff_inst/CLK CLOCK PIN 0.000 42.819 1 Uncertainty -(0.000) 42.819 Common Path Skew 0.053 42.872 Setup time -(-0.058) 42.930 ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Required Time 42.930 Arrival Time -(3.969) ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Path Slack (Passed) 38.961 ++++ Path 25 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Path Begin : CNT2_e3_e3_e3_20__i2.ff_inst/Q (SLICE_R3C67B) Path End : CNT2_e3_e3_e3_20__i4.ff_inst/DF (SLICE_R3C67C) Source Clock : CLK2 (R) Destination Clock: CLK2 (R) Logic Level : 3 Delay Ratio : 14.2% (route), 85.8% (logic) Clock Skew : -0.059 ns Setup Constraint : 40.000 ns Common Path Skew : 0.053 ns Path Slack : 38.995 ns (Passed) Source Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i1.ff_inst/CLK", "phy_name":"SLICE_2/CLK" }, "path_sections":[ { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":0.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":1.238, "delay":1.238 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":1.767, "delay":0.529 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":1.767, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":2.878, "delay":1.111 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.878, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Clk top CLOCK LATENCY 0.000 0.000 1 Clk NET DELAY 0.000 0.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.238 1.238 3 Clk_c NET DELAY 0.529 1.767 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 1.767 13 clk2 NET DELAY 1.111 2.878 13 {CNT2_e3_e3_e3_20__i1.ff_inst/CLK CNT2_e3_e3_e3_20__i2.ff_inst/CLK} CLOCK PIN 0.000 2.878 1 Data Path { "path_begin": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i2.ff_inst/Q", "phy_name":"SLICE_2/Q1" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i4.ff_inst/DF", "phy_name":"SLICE_0/DI1" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20__i2.ff_inst/CLK", "phy_name":"SLICE_2/CLK" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20__i2.ff_inst/Q", "phy_name":"SLICE_2/Q1" }, "arrive":3.186, "delay":0.308 }, { "type":"net_delay", "net": { "log_name":"CNT2[2]", "phy_name":"CNT2[2]" }, "arrive":3.336, "delay":0.150 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_3/B1", "phy_name":"SLICE_2/B1" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_3/COUT", "phy_name":"SLICE_2/FCO" }, "arrive":3.653, "delay":0.317 }, { "type":"net_delay", "net": { "log_name":"n135", "phy_name":"n135" }, "arrive":3.653, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_5/CIN", "phy_name":"SLICE_0/FCI" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_5/S1", "phy_name":"SLICE_0/F1" }, "arrive":3.934, "delay":0.281 }, { "type":"net_delay", "net": { "log_name":"CNT2_7__N_3[4]", "phy_name":"CNT2_7__N_3[4]" }, "arrive":3.934, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":3.934, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ CNT2_e3_e3_e3_20__i2.ff_inst/CLK->CNT2_e3_e3_e3_20__i2.ff_inst/Q SLICE_R3C67B REG_DEL 0.308 3.186 2 CNT2[2] NET DELAY 0.150 3.336 2 CNT2_e3_e3_e3_20_add_4_3/B1->CNT2_e3_e3_e3_20_add_4_3/COUT SLICE_R3C67B C1TOFCO_DEL 0.317 3.653 1 n135 NET DELAY 0.000 3.653 1 CNT2_e3_e3_e3_20_add_4_5/CIN->CNT2_e3_e3_e3_20_add_4_5/S1 SLICE_R3C67C FCITOF1_DEL 0.281 3.934 1 CNT2_7__N_3[4] NET DELAY 0.000 3.934 1 CNT2_e3_e3_e3_20__i4.ff_inst/DF ENDPOINT 0.000 3.934 1 Destination Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i3.ff_inst/CLK", "phy_name":"SLICE_0/CLK" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":40.000, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":40.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":41.238, "delay":1.238 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":41.754, "delay":0.516 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":41.754, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":42.819, "delay":1.065 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":42.819, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ CONSTRAINT 0.000 40.000 1 Clk top CLOCK LATENCY 0.000 40.000 1 Clk NET DELAY 0.000 40.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.238 41.238 3 Clk_c NET DELAY 0.516 41.754 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 41.754 13 clk2 NET DELAY 1.065 42.819 13 {CNT2_e3_e3_e3_20__i3.ff_inst/CLK CNT2_e3_e3_e3_20__i4.ff_inst/CLK} CLOCK PIN 0.000 42.819 1 Uncertainty -(0.000) 42.819 Common Path Skew 0.053 42.872 Setup time -(-0.057) 42.929 ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Required Time 42.929 Arrival Time -(3.934) ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Path Slack (Passed) 38.995 ++++ Path 26 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Path Begin : CNT2_e3_e3_e3_20__i0.ff_inst/Q (SLICE_R3C67A) Path End : CNT2_e3_e3_e3_20__i2.ff_inst/DF (SLICE_R3C67B) Source Clock : CLK2 (R) Destination Clock: CLK2 (R) Logic Level : 3 Delay Ratio : 14.2% (route), 85.8% (logic) Clock Skew : -0.059 ns Setup Constraint : 40.000 ns Common Path Skew : 0.058 ns Path Slack : 39.000 ns (Passed) Source Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i0.ff_inst/CLK", "phy_name":"SLICE_1/CLK" }, "path_sections":[ { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":0.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":1.238, "delay":1.238 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":1.767, "delay":0.529 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":1.767, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":2.878, "delay":1.111 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.878, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Clk top CLOCK LATENCY 0.000 0.000 1 Clk NET DELAY 0.000 0.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.238 1.238 3 Clk_c NET DELAY 0.529 1.767 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 1.767 13 clk2 NET DELAY 1.111 2.878 13 CNT2_e3_e3_e3_20__i0.ff_inst/CLK CLOCK PIN 0.000 2.878 1 Data Path { "path_begin": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i0.ff_inst/Q", "phy_name":"SLICE_1/Q1" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i2.ff_inst/DF", "phy_name":"SLICE_2/DI1" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20__i0.ff_inst/CLK", "phy_name":"SLICE_1/CLK" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20__i0.ff_inst/Q", "phy_name":"SLICE_1/Q1" }, "arrive":3.186, "delay":0.308 }, { "type":"net_delay", "net": { "log_name":"CNT2[0]", "phy_name":"CNT2[0]" }, "arrive":3.336, "delay":0.150 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_cin/B1", "phy_name":"SLICE_1/B1" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_cin/COUT", "phy_name":"SLICE_1/FCO" }, "arrive":3.653, "delay":0.317 }, { "type":"net_delay", "net": { "log_name":"n134", "phy_name":"n134" }, "arrive":3.653, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_3/CIN", "phy_name":"SLICE_2/FCI" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_3/S1", "phy_name":"SLICE_2/F1" }, "arrive":3.934, "delay":0.281 }, { "type":"net_delay", "net": { "log_name":"CNT2_7__N_3[2]", "phy_name":"CNT2_7__N_3[2]" }, "arrive":3.934, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":3.934, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ CNT2_e3_e3_e3_20__i0.ff_inst/CLK->CNT2_e3_e3_e3_20__i0.ff_inst/Q SLICE_R3C67A REG_DEL 0.308 3.186 2 CNT2[0] NET DELAY 0.150 3.336 2 CNT2_e3_e3_e3_20_add_4_cin/B1->CNT2_e3_e3_e3_20_add_4_cin/COUT SLICE_R3C67A C1TOFCO_DEL 0.317 3.653 1 n134 NET DELAY 0.000 3.653 1 CNT2_e3_e3_e3_20_add_4_3/CIN->CNT2_e3_e3_e3_20_add_4_3/S1 SLICE_R3C67B FCITOF1_DEL 0.281 3.934 1 CNT2_7__N_3[2] NET DELAY 0.000 3.934 1 CNT2_e3_e3_e3_20__i2.ff_inst/DF ENDPOINT 0.000 3.934 1 Destination Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i1.ff_inst/CLK", "phy_name":"SLICE_2/CLK" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":40.000, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":40.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":41.238, "delay":1.238 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":41.754, "delay":0.516 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":41.754, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":42.819, "delay":1.065 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":42.819, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ CONSTRAINT 0.000 40.000 1 Clk top CLOCK LATENCY 0.000 40.000 1 Clk NET DELAY 0.000 40.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.238 41.238 3 Clk_c NET DELAY 0.516 41.754 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 41.754 13 clk2 NET DELAY 1.065 42.819 13 {CNT2_e3_e3_e3_20__i1.ff_inst/CLK CNT2_e3_e3_e3_20__i2.ff_inst/CLK} CLOCK PIN 0.000 42.819 1 Uncertainty -(0.000) 42.819 Common Path Skew 0.058 42.877 Setup time -(-0.057) 42.934 ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Required Time 42.934 Arrival Time -(3.934) ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Path Slack (Passed) 39.000 ++++ Path 27 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Path Begin : CNT2_e3_e3_e3_20__i3.ff_inst/Q (SLICE_R3C67C) Path End : CNT2_e3_e3_e3_20__i6.ff_inst/DF (SLICE_R3C67D) Source Clock : CLK2 (R) Destination Clock: CLK2 (R) Logic Level : 3 Delay Ratio : 14.7% (route), 85.3% (logic) Clock Skew : -0.059 ns Setup Constraint : 40.000 ns Common Path Skew : 0.058 ns Path Slack : 39.003 ns (Passed) Source Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i3.ff_inst/CLK", "phy_name":"SLICE_0/CLK" }, "path_sections":[ { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":0.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":1.238, "delay":1.238 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":1.767, "delay":0.529 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":1.767, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":2.878, "delay":1.111 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.878, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Clk top CLOCK LATENCY 0.000 0.000 1 Clk NET DELAY 0.000 0.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.238 1.238 3 Clk_c NET DELAY 0.529 1.767 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 1.767 13 clk2 NET DELAY 1.111 2.878 13 {CNT2_e3_e3_e3_20__i3.ff_inst/CLK CNT2_e3_e3_e3_20__i4.ff_inst/CLK} CLOCK PIN 0.000 2.878 1 Data Path { "path_begin": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i3.ff_inst/Q", "phy_name":"SLICE_0/Q0" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i6.ff_inst/DF", "phy_name":"SLICE_3/DI1" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20__i3.ff_inst/CLK", "phy_name":"SLICE_0/CLK" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20__i3.ff_inst/Q", "phy_name":"SLICE_0/Q0" }, "arrive":3.183, "delay":0.305 }, { "type":"net_delay", "net": { "log_name":"CNT2[3]", "phy_name":"CNT2[3]" }, "arrive":3.333, "delay":0.150 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_5/B0", "phy_name":"SLICE_0/B0" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_5/COUT", "phy_name":"SLICE_0/FCO" }, "arrive":3.645, "delay":0.286 }, { "type":"net_delay", "net": { "log_name":"n136", "phy_name":"n136" }, "arrive":3.645, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_7/CIN", "phy_name":"SLICE_3/FCI" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_7/S1", "phy_name":"SLICE_3/F1" }, "arrive":3.926, "delay":0.281 }, { "type":"net_delay", "net": { "log_name":"CNT2_7__N_3[6]", "phy_name":"CNT2_7__N_3[6]" }, "arrive":3.926, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":3.926, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ CNT2_e3_e3_e3_20__i3.ff_inst/CLK->CNT2_e3_e3_e3_20__i3.ff_inst/Q SLICE_R3C67C REG_DEL 0.305 3.183 2 CNT2[3] NET DELAY 0.150 3.333 2 CNT2_e3_e3_e3_20_add_4_5/B0->CNT2_e3_e3_e3_20_add_4_5/COUT SLICE_R3C67C C0TOFCO_DEL 0.286 3.645 1 n136 NET DELAY 0.000 3.645 1 CNT2_e3_e3_e3_20_add_4_7/CIN->CNT2_e3_e3_e3_20_add_4_7/S1 SLICE_R3C67D FCITOF1_DEL 0.281 3.926 1 CNT2_7__N_3[6] NET DELAY 0.000 3.926 1 CNT2_e3_e3_e3_20__i6.ff_inst/DF ENDPOINT 0.000 3.926 1 Destination Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i5.ff_inst/CLK", "phy_name":"SLICE_3/CLK" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":40.000, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":40.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":41.238, "delay":1.238 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":41.754, "delay":0.516 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":41.754, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":42.819, "delay":1.065 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":42.819, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ CONSTRAINT 0.000 40.000 1 Clk top CLOCK LATENCY 0.000 40.000 1 Clk NET DELAY 0.000 40.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.238 41.238 3 Clk_c NET DELAY 0.516 41.754 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 41.754 13 clk2 NET DELAY 1.065 42.819 13 {CNT2_e3_e3_e3_20__i5.ff_inst/CLK CNT2_e3_e3_e3_20__i6.ff_inst/CLK} CLOCK PIN 0.000 42.819 1 Uncertainty -(0.000) 42.819 Common Path Skew 0.058 42.877 Setup time -(-0.057) 42.934 ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Required Time 42.934 Arrival Time -(3.931) ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Path Slack (Passed) 39.003 ++++ Path 28 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Path Begin : CNT2_e3_e3_e3_20__i4.ff_inst/Q (SLICE_R3C67C) Path End : CNT2_e3_e3_e3_20__i6.ff_inst/DF (SLICE_R3C67D) Source Clock : CLK2 (R) Destination Clock: CLK2 (R) Logic Level : 3 Delay Ratio : 21.9% (route), 78.1% (logic) Clock Skew : -0.059 ns Setup Constraint : 40.000 ns Common Path Skew : 0.058 ns Path Slack : 38.923 ns (Passed) Source Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i3.ff_inst/CLK", "phy_name":"SLICE_0/CLK" }, "path_sections":[ { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":0.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":1.238, "delay":1.238 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":1.767, "delay":0.529 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":1.767, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":2.878, "delay":1.111 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.878, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Clk top CLOCK LATENCY 0.000 0.000 1 Clk NET DELAY 0.000 0.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.238 1.238 3 Clk_c NET DELAY 0.529 1.767 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 1.767 13 clk2 NET DELAY 1.111 2.878 13 {CNT2_e3_e3_e3_20__i3.ff_inst/CLK CNT2_e3_e3_e3_20__i4.ff_inst/CLK} CLOCK PIN 0.000 2.878 1 Data Path { "path_begin": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i4.ff_inst/Q", "phy_name":"SLICE_0/Q1" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i6.ff_inst/DF", "phy_name":"SLICE_3/DI1" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20__i4.ff_inst/CLK", "phy_name":"SLICE_0/CLK" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20__i4.ff_inst/Q", "phy_name":"SLICE_0/Q1" }, "arrive":3.172, "delay":0.294 }, { "type":"net_delay", "net": { "log_name":"CNT2[4]", "phy_name":"CNT2[4]" }, "arrive":3.413, "delay":0.241 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_5/B1", "phy_name":"SLICE_0/B1" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_5/COUT", "phy_name":"SLICE_0/FCO" }, "arrive":3.725, "delay":0.286 }, { "type":"net_delay", "net": { "log_name":"n136", "phy_name":"n136" }, "arrive":3.725, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_7/CIN", "phy_name":"SLICE_3/FCI" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_7/S1", "phy_name":"SLICE_3/F1" }, "arrive":4.006, "delay":0.281 }, { "type":"net_delay", "net": { "log_name":"CNT2_7__N_3[6]", "phy_name":"CNT2_7__N_3[6]" }, "arrive":4.006, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":4.006, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ CNT2_e3_e3_e3_20__i4.ff_inst/CLK->CNT2_e3_e3_e3_20__i4.ff_inst/Q SLICE_R3C67C REG_DEL 0.294 3.172 2 CNT2[4] NET DELAY 0.241 3.413 2 CNT2_e3_e3_e3_20_add_4_5/B1->CNT2_e3_e3_e3_20_add_4_5/COUT SLICE_R3C67C C1TOFCO_DEL 0.286 3.725 1 n136 NET DELAY 0.000 3.725 1 CNT2_e3_e3_e3_20_add_4_7/CIN->CNT2_e3_e3_e3_20_add_4_7/S1 SLICE_R3C67D FCITOF1_DEL 0.281 4.006 1 CNT2_7__N_3[6] NET DELAY 0.000 4.006 1 CNT2_e3_e3_e3_20__i6.ff_inst/DF ENDPOINT 0.000 4.006 1 Destination Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i5.ff_inst/CLK", "phy_name":"SLICE_3/CLK" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":40.000, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":40.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":41.238, "delay":1.238 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":41.754, "delay":0.516 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":41.754, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":42.819, "delay":1.065 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":42.819, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ CONSTRAINT 0.000 40.000 1 Clk top CLOCK LATENCY 0.000 40.000 1 Clk NET DELAY 0.000 40.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.238 41.238 3 Clk_c NET DELAY 0.516 41.754 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 41.754 13 clk2 NET DELAY 1.065 42.819 13 {CNT2_e3_e3_e3_20__i5.ff_inst/CLK CNT2_e3_e3_e3_20__i6.ff_inst/CLK} CLOCK PIN 0.000 42.819 1 Uncertainty -(0.000) 42.819 Common Path Skew 0.058 42.877 Setup time -(-0.057) 42.934 ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Required Time 42.934 Arrival Time -(4.011) ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Path Slack (Passed) 38.923 ++++ Path 29 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Path Begin : CNT2_e3_e3_e3_20__i2.ff_inst/Q (SLICE_R3C67B) Path End : CNT2_e3_e3_e3_20__i5.ff_inst/DF (SLICE_R3C67D) Source Clock : CLK2 (R) Destination Clock: CLK2 (R) Logic Level : 4 Delay Ratio : 14.5% (route), 85.5% (logic) Clock Skew : -0.059 ns Setup Constraint : 40.000 ns Common Path Skew : 0.053 ns Path Slack : 39.015 ns (Passed) Source Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i1.ff_inst/CLK", "phy_name":"SLICE_2/CLK" }, "path_sections":[ { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":0.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":1.238, "delay":1.238 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":1.767, "delay":0.529 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":1.767, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":2.878, "delay":1.111 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.878, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Clk top CLOCK LATENCY 0.000 0.000 1 Clk NET DELAY 0.000 0.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.238 1.238 3 Clk_c NET DELAY 0.529 1.767 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 1.767 13 clk2 NET DELAY 1.111 2.878 13 {CNT2_e3_e3_e3_20__i1.ff_inst/CLK CNT2_e3_e3_e3_20__i2.ff_inst/CLK} CLOCK PIN 0.000 2.878 1 Data Path { "path_begin": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i2.ff_inst/Q", "phy_name":"SLICE_2/Q1" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i5.ff_inst/DF", "phy_name":"SLICE_3/DI0" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20__i2.ff_inst/CLK", "phy_name":"SLICE_2/CLK" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20__i2.ff_inst/Q", "phy_name":"SLICE_2/Q1" }, "arrive":3.186, "delay":0.308 }, { "type":"net_delay", "net": { "log_name":"CNT2[2]", "phy_name":"CNT2[2]" }, "arrive":3.336, "delay":0.150 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_3/B1", "phy_name":"SLICE_2/B1" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_3/COUT", "phy_name":"SLICE_2/FCO" }, "arrive":3.653, "delay":0.317 }, { "type":"net_delay", "net": { "log_name":"n135", "phy_name":"n135" }, "arrive":3.653, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_5/CIN", "phy_name":"SLICE_0/FCI" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_5/COUT", "phy_name":"SLICE_0/FCO" }, "arrive":3.707, "delay":0.054 }, { "type":"net_delay", "net": { "log_name":"n136", "phy_name":"n136" }, "arrive":3.707, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_7/CIN", "phy_name":"SLICE_3/FCI" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_7/S0", "phy_name":"SLICE_3/F0" }, "arrive":3.915, "delay":0.208 }, { "type":"net_delay", "net": { "log_name":"CNT2_7__N_3[5]", "phy_name":"CNT2_7__N_3[5]" }, "arrive":3.915, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":3.915, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ CNT2_e3_e3_e3_20__i2.ff_inst/CLK->CNT2_e3_e3_e3_20__i2.ff_inst/Q SLICE_R3C67B REG_DEL 0.308 3.186 2 CNT2[2] NET DELAY 0.150 3.336 2 CNT2_e3_e3_e3_20_add_4_3/B1->CNT2_e3_e3_e3_20_add_4_3/COUT SLICE_R3C67B C1TOFCO_DEL 0.317 3.653 1 n135 NET DELAY 0.000 3.653 1 CNT2_e3_e3_e3_20_add_4_5/CIN->CNT2_e3_e3_e3_20_add_4_5/COUT SLICE_R3C67C FCITOFCO_DEL 0.054 3.707 1 n136 NET DELAY 0.000 3.707 1 CNT2_e3_e3_e3_20_add_4_7/CIN->CNT2_e3_e3_e3_20_add_4_7/S0 SLICE_R3C67D FCITOF0_DEL 0.208 3.915 1 CNT2_7__N_3[5] NET DELAY 0.000 3.915 1 CNT2_e3_e3_e3_20__i5.ff_inst/DF ENDPOINT 0.000 3.915 1 Destination Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i5.ff_inst/CLK", "phy_name":"SLICE_3/CLK" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":40.000, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":40.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":41.238, "delay":1.238 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":41.754, "delay":0.516 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":41.754, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":42.819, "delay":1.065 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":42.819, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ CONSTRAINT 0.000 40.000 1 Clk top CLOCK LATENCY 0.000 40.000 1 Clk NET DELAY 0.000 40.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.238 41.238 3 Clk_c NET DELAY 0.516 41.754 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 41.754 13 clk2 NET DELAY 1.065 42.819 13 {CNT2_e3_e3_e3_20__i5.ff_inst/CLK CNT2_e3_e3_e3_20__i6.ff_inst/CLK} CLOCK PIN 0.000 42.819 1 Uncertainty -(0.000) 42.819 Common Path Skew 0.053 42.872 Setup time -(-0.058) 42.930 ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Required Time 42.930 Arrival Time -(3.915) ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Path Slack (Passed) 39.015 ++++ Path 30 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Path Begin : CNT2_e3_e3_e3_20__i0.ff_inst/Q (SLICE_R3C67A) Path End : CNT2_e3_e3_e3_20__i3.ff_inst/DF (SLICE_R3C67C) Source Clock : CLK2 (R) Destination Clock: CLK2 (R) Logic Level : 4 Delay Ratio : 14.6% (route), 85.4% (logic) Clock Skew : -0.059 ns Setup Constraint : 40.000 ns Common Path Skew : 0.053 ns Path Slack : 39.015 ns (Passed) Source Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i0.ff_inst/CLK", "phy_name":"SLICE_1/CLK" }, "path_sections":[ { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":0.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":1.238, "delay":1.238 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":1.767, "delay":0.529 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":1.767, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":2.878, "delay":1.111 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.878, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Clk top CLOCK LATENCY 0.000 0.000 1 Clk NET DELAY 0.000 0.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.238 1.238 3 Clk_c NET DELAY 0.529 1.767 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 1.767 13 clk2 NET DELAY 1.111 2.878 13 CNT2_e3_e3_e3_20__i0.ff_inst/CLK CLOCK PIN 0.000 2.878 1 Data Path { "path_begin": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i0.ff_inst/Q", "phy_name":"SLICE_1/Q1" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i3.ff_inst/DF", "phy_name":"SLICE_0/DI0" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20__i0.ff_inst/CLK", "phy_name":"SLICE_1/CLK" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20__i0.ff_inst/Q", "phy_name":"SLICE_1/Q1" }, "arrive":3.186, "delay":0.308 }, { "type":"net_delay", "net": { "log_name":"CNT2[0]", "phy_name":"CNT2[0]" }, "arrive":3.336, "delay":0.150 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_cin/B1", "phy_name":"SLICE_1/B1" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_cin/COUT", "phy_name":"SLICE_1/FCO" }, "arrive":3.653, "delay":0.317 }, { "type":"net_delay", "net": { "log_name":"n134", "phy_name":"n134" }, "arrive":3.653, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_3/CIN", "phy_name":"SLICE_2/FCI" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_3/COUT", "phy_name":"SLICE_2/FCO" }, "arrive":3.707, "delay":0.046 }, { "type":"net_delay", "net": { "log_name":"n135", "phy_name":"n135" }, "arrive":3.707, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_5/CIN", "phy_name":"SLICE_0/FCI" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_5/S0", "phy_name":"SLICE_0/F0" }, "arrive":3.915, "delay":0.208 }, { "type":"net_delay", "net": { "log_name":"CNT2_7__N_3[3]", "phy_name":"CNT2_7__N_3[3]" }, "arrive":3.915, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":3.915, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ CNT2_e3_e3_e3_20__i0.ff_inst/CLK->CNT2_e3_e3_e3_20__i0.ff_inst/Q SLICE_R3C67A REG_DEL 0.308 3.186 2 CNT2[0] NET DELAY 0.150 3.336 2 CNT2_e3_e3_e3_20_add_4_cin/B1->CNT2_e3_e3_e3_20_add_4_cin/COUT SLICE_R3C67A C1TOFCO_DEL 0.317 3.653 1 n134 NET DELAY 0.000 3.653 1 CNT2_e3_e3_e3_20_add_4_3/CIN->CNT2_e3_e3_e3_20_add_4_3/COUT SLICE_R3C67B FCITOFCO_DEL 0.046 3.707 1 n135 NET DELAY 0.000 3.707 1 CNT2_e3_e3_e3_20_add_4_5/CIN->CNT2_e3_e3_e3_20_add_4_5/S0 SLICE_R3C67C FCITOF0_DEL 0.208 3.915 1 CNT2_7__N_3[3] NET DELAY 0.000 3.915 1 CNT2_e3_e3_e3_20__i3.ff_inst/DF ENDPOINT 0.000 3.915 1 Destination Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i3.ff_inst/CLK", "phy_name":"SLICE_0/CLK" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":40.000, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":40.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":41.238, "delay":1.238 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":41.754, "delay":0.516 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":41.754, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":42.819, "delay":1.065 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":42.819, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ CONSTRAINT 0.000 40.000 1 Clk top CLOCK LATENCY 0.000 40.000 1 Clk NET DELAY 0.000 40.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.238 41.238 3 Clk_c NET DELAY 0.516 41.754 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 41.754 13 clk2 NET DELAY 1.065 42.819 13 {CNT2_e3_e3_e3_20__i3.ff_inst/CLK CNT2_e3_e3_e3_20__i4.ff_inst/CLK} CLOCK PIN 0.000 42.819 1 Uncertainty -(0.000) 42.819 Common Path Skew 0.053 42.872 Setup time -(-0.058) 42.930 ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Required Time 42.930 Arrival Time -(3.915) ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Path Slack (Passed) 39.015 ++++ Path 31 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Path Begin : CNT2_e3_e3_e3_20__i3.ff_inst/Q (SLICE_R3C67C) Path End : CNT2_e3_e3_e3_20__i7.ff_inst/DF (SLICE_R3C68A) Source Clock : CLK2 (R) Destination Clock: CLK2 (R) Logic Level : 4 Delay Ratio : 15.0% (route), 85.0% (logic) Clock Skew : -0.059 ns Setup Constraint : 40.000 ns Common Path Skew : 0.053 ns Path Slack : 39.018 ns (Passed) Source Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i3.ff_inst/CLK", "phy_name":"SLICE_0/CLK" }, "path_sections":[ { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":0.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":1.238, "delay":1.238 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":1.767, "delay":0.529 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":1.767, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":2.878, "delay":1.111 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.878, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Clk top CLOCK LATENCY 0.000 0.000 1 Clk NET DELAY 0.000 0.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.238 1.238 3 Clk_c NET DELAY 0.529 1.767 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 1.767 13 clk2 NET DELAY 1.111 2.878 13 {CNT2_e3_e3_e3_20__i3.ff_inst/CLK CNT2_e3_e3_e3_20__i4.ff_inst/CLK} CLOCK PIN 0.000 2.878 1 Data Path { "path_begin": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i3.ff_inst/Q", "phy_name":"SLICE_0/Q0" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i7.ff_inst/DF", "phy_name":"SLICE_4/DI0" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20__i3.ff_inst/CLK", "phy_name":"SLICE_0/CLK" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20__i3.ff_inst/Q", "phy_name":"SLICE_0/Q0" }, "arrive":3.183, "delay":0.305 }, { "type":"net_delay", "net": { "log_name":"CNT2[3]", "phy_name":"CNT2[3]" }, "arrive":3.333, "delay":0.150 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_5/B0", "phy_name":"SLICE_0/B0" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_5/COUT", "phy_name":"SLICE_0/FCO" }, "arrive":3.650, "delay":0.286 }, { "type":"net_delay", "net": { "log_name":"n136", "phy_name":"n136" }, "arrive":3.650, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_7/CIN", "phy_name":"SLICE_3/FCI" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_7/COUT", "phy_name":"SLICE_3/FCO" }, "arrive":3.704, "delay":0.054 }, { "type":"net_delay", "net": { "log_name":"n137", "phy_name":"n137" }, "arrive":3.704, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_9/CIN", "phy_name":"SLICE_4/FCI" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_9/S0", "phy_name":"SLICE_4/F0" }, "arrive":3.912, "delay":0.208 }, { "type":"net_delay", "net": { "log_name":"CNT2_7__N_3[7]", "phy_name":"CNT2_7__N_3[7]" }, "arrive":3.912, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":3.912, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ CNT2_e3_e3_e3_20__i3.ff_inst/CLK->CNT2_e3_e3_e3_20__i3.ff_inst/Q SLICE_R3C67C REG_DEL 0.305 3.183 2 CNT2[3] NET DELAY 0.150 3.333 2 CNT2_e3_e3_e3_20_add_4_5/B0->CNT2_e3_e3_e3_20_add_4_5/COUT SLICE_R3C67C C0TOFCO_DEL 0.286 3.650 1 n136 NET DELAY 0.000 3.650 1 CNT2_e3_e3_e3_20_add_4_7/CIN->CNT2_e3_e3_e3_20_add_4_7/COUT SLICE_R3C67D FCITOFCO_DEL 0.054 3.704 1 n137 NET DELAY 0.000 3.704 1 CNT2_e3_e3_e3_20_add_4_9/CIN->CNT2_e3_e3_e3_20_add_4_9/S0 SLICE_R3C68A FCITOF0_DEL 0.208 3.912 1 CNT2_7__N_3[7] NET DELAY 0.000 3.912 1 CNT2_e3_e3_e3_20__i7.ff_inst/DF ENDPOINT 0.000 3.912 1 Destination Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i7.ff_inst/CLK", "phy_name":"SLICE_4/CLK" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":40.000, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":40.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":41.238, "delay":1.238 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":41.754, "delay":0.516 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":41.754, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":42.819, "delay":1.065 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":42.819, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ CONSTRAINT 0.000 40.000 1 Clk top CLOCK LATENCY 0.000 40.000 1 Clk NET DELAY 0.000 40.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.238 41.238 3 Clk_c NET DELAY 0.516 41.754 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 41.754 13 clk2 NET DELAY 1.065 42.819 13 CNT2_e3_e3_e3_20__i7.ff_inst/CLK CLOCK PIN 0.000 42.819 1 Uncertainty -(0.000) 42.819 Common Path Skew 0.053 42.872 Setup time -(-0.058) 42.930 ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Required Time 42.930 Arrival Time -(3.912) ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Path Slack (Passed) 39.018 ++++ Path 32 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Path Begin : CNT2_e3_e3_e3_20__i4.ff_inst/Q (SLICE_R3C67C) Path End : CNT2_e3_e3_e3_20__i7.ff_inst/DF (SLICE_R3C68A) Source Clock : CLK2 (R) Destination Clock: CLK2 (R) Logic Level : 4 Delay Ratio : 22.3% (route), 77.7% (logic) Clock Skew : -0.059 ns Setup Constraint : 40.000 ns Common Path Skew : 0.053 ns Path Slack : 38.938 ns (Passed) Source Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i3.ff_inst/CLK", "phy_name":"SLICE_0/CLK" }, "path_sections":[ { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":0.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":1.238, "delay":1.238 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":1.767, "delay":0.529 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":1.767, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":2.878, "delay":1.111 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.878, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Clk top CLOCK LATENCY 0.000 0.000 1 Clk NET DELAY 0.000 0.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.238 1.238 3 Clk_c NET DELAY 0.529 1.767 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 1.767 13 clk2 NET DELAY 1.111 2.878 13 {CNT2_e3_e3_e3_20__i3.ff_inst/CLK CNT2_e3_e3_e3_20__i4.ff_inst/CLK} CLOCK PIN 0.000 2.878 1 Data Path { "path_begin": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i4.ff_inst/Q", "phy_name":"SLICE_0/Q1" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i7.ff_inst/DF", "phy_name":"SLICE_4/DI0" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20__i4.ff_inst/CLK", "phy_name":"SLICE_0/CLK" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20__i4.ff_inst/Q", "phy_name":"SLICE_0/Q1" }, "arrive":3.172, "delay":0.294 }, { "type":"net_delay", "net": { "log_name":"CNT2[4]", "phy_name":"CNT2[4]" }, "arrive":3.413, "delay":0.241 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_5/B1", "phy_name":"SLICE_0/B1" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_5/COUT", "phy_name":"SLICE_0/FCO" }, "arrive":3.730, "delay":0.286 }, { "type":"net_delay", "net": { "log_name":"n136", "phy_name":"n136" }, "arrive":3.730, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_7/CIN", "phy_name":"SLICE_3/FCI" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_7/COUT", "phy_name":"SLICE_3/FCO" }, "arrive":3.784, "delay":0.054 }, { "type":"net_delay", "net": { "log_name":"n137", "phy_name":"n137" }, "arrive":3.784, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_9/CIN", "phy_name":"SLICE_4/FCI" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_9/S0", "phy_name":"SLICE_4/F0" }, "arrive":3.992, "delay":0.208 }, { "type":"net_delay", "net": { "log_name":"CNT2_7__N_3[7]", "phy_name":"CNT2_7__N_3[7]" }, "arrive":3.992, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":3.992, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ CNT2_e3_e3_e3_20__i4.ff_inst/CLK->CNT2_e3_e3_e3_20__i4.ff_inst/Q SLICE_R3C67C REG_DEL 0.294 3.172 2 CNT2[4] NET DELAY 0.241 3.413 2 CNT2_e3_e3_e3_20_add_4_5/B1->CNT2_e3_e3_e3_20_add_4_5/COUT SLICE_R3C67C C1TOFCO_DEL 0.286 3.730 1 n136 NET DELAY 0.000 3.730 1 CNT2_e3_e3_e3_20_add_4_7/CIN->CNT2_e3_e3_e3_20_add_4_7/COUT SLICE_R3C67D FCITOFCO_DEL 0.054 3.784 1 n137 NET DELAY 0.000 3.784 1 CNT2_e3_e3_e3_20_add_4_9/CIN->CNT2_e3_e3_e3_20_add_4_9/S0 SLICE_R3C68A FCITOF0_DEL 0.208 3.992 1 CNT2_7__N_3[7] NET DELAY 0.000 3.992 1 CNT2_e3_e3_e3_20__i7.ff_inst/DF ENDPOINT 0.000 3.992 1 Destination Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i7.ff_inst/CLK", "phy_name":"SLICE_4/CLK" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":40.000, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":40.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":41.238, "delay":1.238 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":41.754, "delay":0.516 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":41.754, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":42.819, "delay":1.065 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":42.819, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ CONSTRAINT 0.000 40.000 1 Clk top CLOCK LATENCY 0.000 40.000 1 Clk NET DELAY 0.000 40.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.238 41.238 3 Clk_c NET DELAY 0.516 41.754 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 41.754 13 clk2 NET DELAY 1.065 42.819 13 CNT2_e3_e3_e3_20__i7.ff_inst/CLK CLOCK PIN 0.000 42.819 1 Uncertainty -(0.000) 42.819 Common Path Skew 0.053 42.872 Setup time -(-0.058) 42.930 ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Required Time 42.930 Arrival Time -(3.992) ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Path Slack (Passed) 38.938 ++++ Path 33 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Path Begin : CNT2_e3_e3_e3_20__i1.ff_inst/Q (SLICE_R3C67B) Path End : CNT2_e3_e3_e3_20__i2.ff_inst/DF (SLICE_R3C67B) Source Clock : CLK2 (R) Destination Clock: CLK2 (R) Logic Level : 2 Delay Ratio : 27.5% (route), 72.5% (logic) Clock Skew : -0.059 ns Setup Constraint : 40.000 ns Common Path Skew : 0.058 ns Path Slack : 39.030 ns (Passed) Source Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i1.ff_inst/CLK", "phy_name":"SLICE_2/CLK" }, "path_sections":[ { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":0.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":1.238, "delay":1.238 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":1.767, "delay":0.529 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":1.767, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":2.878, "delay":1.111 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.878, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Clk top CLOCK LATENCY 0.000 0.000 1 Clk NET DELAY 0.000 0.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.238 1.238 3 Clk_c NET DELAY 0.529 1.767 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 1.767 13 clk2 NET DELAY 1.111 2.878 13 {CNT2_e3_e3_e3_20__i1.ff_inst/CLK CNT2_e3_e3_e3_20__i2.ff_inst/CLK} CLOCK PIN 0.000 2.878 1 Data Path { "path_begin": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i1.ff_inst/Q", "phy_name":"SLICE_2/Q0" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i2.ff_inst/DF", "phy_name":"SLICE_2/DI1" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20__i1.ff_inst/CLK", "phy_name":"SLICE_2/CLK" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20__i1.ff_inst/Q", "phy_name":"SLICE_2/Q0" }, "arrive":3.183, "delay":0.305 }, { "type":"net_delay", "net": { "log_name":"CNT2[1]", "phy_name":"CNT2[1]" }, "arrive":3.466, "delay":0.283 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_3/B0", "phy_name":"SLICE_2/B0" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_3/S1", "phy_name":"SLICE_2/F1" }, "arrive":3.906, "delay":0.440 }, { "type":"net_delay", "net": { "log_name":"CNT2_7__N_3[2]", "phy_name":"CNT2_7__N_3[2]" }, "arrive":3.906, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":3.906, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ CNT2_e3_e3_e3_20__i1.ff_inst/CLK->CNT2_e3_e3_e3_20__i1.ff_inst/Q SLICE_R3C67B REG_DEL 0.305 3.183 2 CNT2[1] NET DELAY 0.283 3.466 2 CNT2_e3_e3_e3_20_add_4_3/B0->CNT2_e3_e3_e3_20_add_4_3/S1 SLICE_R3C67B CTOF_DEL 0.440 3.906 1 CNT2_7__N_3[2] NET DELAY 0.000 3.906 1 CNT2_e3_e3_e3_20__i2.ff_inst/DF ENDPOINT 0.000 3.906 1 Destination Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i1.ff_inst/CLK", "phy_name":"SLICE_2/CLK" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":40.000, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":40.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":41.238, "delay":1.238 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":41.754, "delay":0.516 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":41.754, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":42.819, "delay":1.065 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":42.819, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ CONSTRAINT 0.000 40.000 1 Clk top CLOCK LATENCY 0.000 40.000 1 Clk NET DELAY 0.000 40.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.238 41.238 3 Clk_c NET DELAY 0.516 41.754 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 41.754 13 clk2 NET DELAY 1.065 42.819 13 {CNT2_e3_e3_e3_20__i1.ff_inst/CLK CNT2_e3_e3_e3_20__i2.ff_inst/CLK} CLOCK PIN 0.000 42.819 1 Uncertainty -(0.000) 42.819 Common Path Skew 0.058 42.877 Setup time -(-0.059) 42.936 ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Required Time 42.936 Arrival Time -(3.906) ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Path Slack (Passed) 39.030 ++++ Path 34 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Path Begin : CNT2_e3_e3_e3_20__i2.ff_inst/Q (SLICE_R3C67B) Path End : CNT2_e3_e3_e3_20__i3.ff_inst/DF (SLICE_R3C67C) Source Clock : CLK2 (R) Destination Clock: CLK2 (R) Logic Level : 3 Delay Ratio : 15.3% (route), 84.7% (logic) Clock Skew : -0.059 ns Setup Constraint : 40.000 ns Common Path Skew : 0.053 ns Path Slack : 39.069 ns (Passed) Source Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i1.ff_inst/CLK", "phy_name":"SLICE_2/CLK" }, "path_sections":[ { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":0.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":1.238, "delay":1.238 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":1.767, "delay":0.529 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":1.767, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":2.878, "delay":1.111 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.878, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Clk top CLOCK LATENCY 0.000 0.000 1 Clk NET DELAY 0.000 0.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.238 1.238 3 Clk_c NET DELAY 0.529 1.767 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 1.767 13 clk2 NET DELAY 1.111 2.878 13 {CNT2_e3_e3_e3_20__i1.ff_inst/CLK CNT2_e3_e3_e3_20__i2.ff_inst/CLK} CLOCK PIN 0.000 2.878 1 Data Path { "path_begin": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i2.ff_inst/Q", "phy_name":"SLICE_2/Q1" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i3.ff_inst/DF", "phy_name":"SLICE_0/DI0" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20__i2.ff_inst/CLK", "phy_name":"SLICE_2/CLK" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20__i2.ff_inst/Q", "phy_name":"SLICE_2/Q1" }, "arrive":3.186, "delay":0.308 }, { "type":"net_delay", "net": { "log_name":"CNT2[2]", "phy_name":"CNT2[2]" }, "arrive":3.336, "delay":0.150 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_3/B1", "phy_name":"SLICE_2/B1" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_3/COUT", "phy_name":"SLICE_2/FCO" }, "arrive":3.653, "delay":0.317 }, { "type":"net_delay", "net": { "log_name":"n135", "phy_name":"n135" }, "arrive":3.653, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_5/CIN", "phy_name":"SLICE_0/FCI" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_5/S0", "phy_name":"SLICE_0/F0" }, "arrive":3.861, "delay":0.208 }, { "type":"net_delay", "net": { "log_name":"CNT2_7__N_3[3]", "phy_name":"CNT2_7__N_3[3]" }, "arrive":3.861, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":3.861, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ CNT2_e3_e3_e3_20__i2.ff_inst/CLK->CNT2_e3_e3_e3_20__i2.ff_inst/Q SLICE_R3C67B REG_DEL 0.308 3.186 2 CNT2[2] NET DELAY 0.150 3.336 2 CNT2_e3_e3_e3_20_add_4_3/B1->CNT2_e3_e3_e3_20_add_4_3/COUT SLICE_R3C67B C1TOFCO_DEL 0.317 3.653 1 n135 NET DELAY 0.000 3.653 1 CNT2_e3_e3_e3_20_add_4_5/CIN->CNT2_e3_e3_e3_20_add_4_5/S0 SLICE_R3C67C FCITOF0_DEL 0.208 3.861 1 CNT2_7__N_3[3] NET DELAY 0.000 3.861 1 CNT2_e3_e3_e3_20__i3.ff_inst/DF ENDPOINT 0.000 3.861 1 Destination Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i3.ff_inst/CLK", "phy_name":"SLICE_0/CLK" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":40.000, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":40.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":41.238, "delay":1.238 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":41.754, "delay":0.516 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":41.754, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":42.819, "delay":1.065 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":42.819, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ CONSTRAINT 0.000 40.000 1 Clk top CLOCK LATENCY 0.000 40.000 1 Clk NET DELAY 0.000 40.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.238 41.238 3 Clk_c NET DELAY 0.516 41.754 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 41.754 13 clk2 NET DELAY 1.065 42.819 13 {CNT2_e3_e3_e3_20__i3.ff_inst/CLK CNT2_e3_e3_e3_20__i4.ff_inst/CLK} CLOCK PIN 0.000 42.819 1 Uncertainty -(0.000) 42.819 Common Path Skew 0.053 42.872 Setup time -(-0.058) 42.930 ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Required Time 42.930 Arrival Time -(3.861) ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Path Slack (Passed) 39.069 ++++ Path 35 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Path Begin : CNT2_e3_e3_e3_20__i5.ff_inst/Q (SLICE_R3C67D) Path End : CNT2_e3_e3_e3_20__i7.ff_inst/DF (SLICE_R3C68A) Source Clock : CLK2 (R) Destination Clock: CLK2 (R) Logic Level : 3 Delay Ratio : 15.8% (route), 84.2% (logic) Clock Skew : -0.059 ns Setup Constraint : 40.000 ns Common Path Skew : 0.053 ns Path Slack : 39.072 ns (Passed) Source Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i5.ff_inst/CLK", "phy_name":"SLICE_3/CLK" }, "path_sections":[ { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":0.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":1.238, "delay":1.238 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":1.767, "delay":0.529 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":1.767, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":2.878, "delay":1.111 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.878, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Clk top CLOCK LATENCY 0.000 0.000 1 Clk NET DELAY 0.000 0.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.238 1.238 3 Clk_c NET DELAY 0.529 1.767 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 1.767 13 clk2 NET DELAY 1.111 2.878 13 {CNT2_e3_e3_e3_20__i5.ff_inst/CLK CNT2_e3_e3_e3_20__i6.ff_inst/CLK} CLOCK PIN 0.000 2.878 1 Data Path { "path_begin": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i5.ff_inst/Q", "phy_name":"SLICE_3/Q0" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i7.ff_inst/DF", "phy_name":"SLICE_4/DI0" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20__i5.ff_inst/CLK", "phy_name":"SLICE_3/CLK" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20__i5.ff_inst/Q", "phy_name":"SLICE_3/Q0" }, "arrive":3.183, "delay":0.305 }, { "type":"net_delay", "net": { "log_name":"CNT2[5]", "phy_name":"CNT2[5]" }, "arrive":3.333, "delay":0.150 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_7/B0", "phy_name":"SLICE_3/B0" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_7/COUT", "phy_name":"SLICE_3/FCO" }, "arrive":3.650, "delay":0.286 }, { "type":"net_delay", "net": { "log_name":"n137", "phy_name":"n137" }, "arrive":3.650, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_9/CIN", "phy_name":"SLICE_4/FCI" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_9/S0", "phy_name":"SLICE_4/F0" }, "arrive":3.858, "delay":0.208 }, { "type":"net_delay", "net": { "log_name":"CNT2_7__N_3[7]", "phy_name":"CNT2_7__N_3[7]" }, "arrive":3.858, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":3.858, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ CNT2_e3_e3_e3_20__i5.ff_inst/CLK->CNT2_e3_e3_e3_20__i5.ff_inst/Q SLICE_R3C67D REG_DEL 0.305 3.183 2 CNT2[5] NET DELAY 0.150 3.333 2 CNT2_e3_e3_e3_20_add_4_7/B0->CNT2_e3_e3_e3_20_add_4_7/COUT SLICE_R3C67D C0TOFCO_DEL 0.286 3.650 1 n137 NET DELAY 0.000 3.650 1 CNT2_e3_e3_e3_20_add_4_9/CIN->CNT2_e3_e3_e3_20_add_4_9/S0 SLICE_R3C68A FCITOF0_DEL 0.208 3.858 1 CNT2_7__N_3[7] NET DELAY 0.000 3.858 1 CNT2_e3_e3_e3_20__i7.ff_inst/DF ENDPOINT 0.000 3.858 1 Destination Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i7.ff_inst/CLK", "phy_name":"SLICE_4/CLK" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":40.000, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":40.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":41.238, "delay":1.238 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":41.754, "delay":0.516 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":41.754, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":42.819, "delay":1.065 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":42.819, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ CONSTRAINT 0.000 40.000 1 Clk top CLOCK LATENCY 0.000 40.000 1 Clk NET DELAY 0.000 40.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.238 41.238 3 Clk_c NET DELAY 0.516 41.754 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 41.754 13 clk2 NET DELAY 1.065 42.819 13 CNT2_e3_e3_e3_20__i7.ff_inst/CLK CLOCK PIN 0.000 42.819 1 Uncertainty -(0.000) 42.819 Common Path Skew 0.053 42.872 Setup time -(-0.058) 42.930 ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Required Time 42.930 Arrival Time -(3.858) ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Path Slack (Passed) 39.072 ++++ Path 36 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Path Begin : CNT2_e3_e3_e3_20__i6.ff_inst/Q (SLICE_R3C67D) Path End : CNT2_e3_e3_e3_20__i7.ff_inst/DF (SLICE_R3C68A) Source Clock : CLK2 (R) Destination Clock: CLK2 (R) Logic Level : 3 Delay Ratio : 25.5% (route), 74.5% (logic) Clock Skew : -0.059 ns Setup Constraint : 40.000 ns Common Path Skew : 0.053 ns Path Slack : 38.945 ns (Passed) Source Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i5.ff_inst/CLK", "phy_name":"SLICE_3/CLK" }, "path_sections":[ { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":0.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":1.238, "delay":1.238 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":1.767, "delay":0.529 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":1.767, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":2.878, "delay":1.111 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.878, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Clk top CLOCK LATENCY 0.000 0.000 1 Clk NET DELAY 0.000 0.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.238 1.238 3 Clk_c NET DELAY 0.529 1.767 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 1.767 13 clk2 NET DELAY 1.111 2.878 13 {CNT2_e3_e3_e3_20__i5.ff_inst/CLK CNT2_e3_e3_e3_20__i6.ff_inst/CLK} CLOCK PIN 0.000 2.878 1 Data Path { "path_begin": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i6.ff_inst/Q", "phy_name":"SLICE_3/Q1" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i7.ff_inst/DF", "phy_name":"SLICE_4/DI0" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20__i6.ff_inst/CLK", "phy_name":"SLICE_3/CLK" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20__i6.ff_inst/Q", "phy_name":"SLICE_3/Q1" }, "arrive":3.186, "delay":0.308 }, { "type":"net_delay", "net": { "log_name":"CNT2[6]", "phy_name":"CNT2[6]" }, "arrive":3.460, "delay":0.274 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_7/B1", "phy_name":"SLICE_3/B1" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_7/COUT", "phy_name":"SLICE_3/FCO" }, "arrive":3.777, "delay":0.286 }, { "type":"net_delay", "net": { "log_name":"n137", "phy_name":"n137" }, "arrive":3.777, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_9/CIN", "phy_name":"SLICE_4/FCI" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_9/S0", "phy_name":"SLICE_4/F0" }, "arrive":3.985, "delay":0.208 }, { "type":"net_delay", "net": { "log_name":"CNT2_7__N_3[7]", "phy_name":"CNT2_7__N_3[7]" }, "arrive":3.985, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":3.985, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ CNT2_e3_e3_e3_20__i6.ff_inst/CLK->CNT2_e3_e3_e3_20__i6.ff_inst/Q SLICE_R3C67D REG_DEL 0.308 3.186 2 CNT2[6] NET DELAY 0.274 3.460 2 CNT2_e3_e3_e3_20_add_4_7/B1->CNT2_e3_e3_e3_20_add_4_7/COUT SLICE_R3C67D C1TOFCO_DEL 0.286 3.777 1 n137 NET DELAY 0.000 3.777 1 CNT2_e3_e3_e3_20_add_4_9/CIN->CNT2_e3_e3_e3_20_add_4_9/S0 SLICE_R3C68A FCITOF0_DEL 0.208 3.985 1 CNT2_7__N_3[7] NET DELAY 0.000 3.985 1 CNT2_e3_e3_e3_20__i7.ff_inst/DF ENDPOINT 0.000 3.985 1 Destination Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i7.ff_inst/CLK", "phy_name":"SLICE_4/CLK" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":40.000, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":40.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":41.238, "delay":1.238 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":41.754, "delay":0.516 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":41.754, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":42.819, "delay":1.065 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":42.819, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ CONSTRAINT 0.000 40.000 1 Clk top CLOCK LATENCY 0.000 40.000 1 Clk NET DELAY 0.000 40.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.238 41.238 3 Clk_c NET DELAY 0.516 41.754 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 41.754 13 clk2 NET DELAY 1.065 42.819 13 CNT2_e3_e3_e3_20__i7.ff_inst/CLK CLOCK PIN 0.000 42.819 1 Uncertainty -(0.000) 42.819 Common Path Skew 0.053 42.872 Setup time -(-0.058) 42.930 ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Required Time 42.930 Arrival Time -(3.985) ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Path Slack (Passed) 38.945 ++++ Path 37 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Path Begin : CNT2_e3_e3_e3_20__i0.ff_inst/Q (SLICE_R3C67A) Path End : CNT2_e3_e3_e3_20__i1.ff_inst/DF (SLICE_R3C67B) Source Clock : CLK2 (R) Destination Clock: CLK2 (R) Logic Level : 3 Delay Ratio : 15.3% (route), 84.7% (logic) Clock Skew : -0.059 ns Setup Constraint : 40.000 ns Common Path Skew : 0.058 ns Path Slack : 39.074 ns (Passed) Source Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i0.ff_inst/CLK", "phy_name":"SLICE_1/CLK" }, "path_sections":[ { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":0.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":1.238, "delay":1.238 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":1.767, "delay":0.529 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":1.767, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":2.878, "delay":1.111 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.878, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Clk top CLOCK LATENCY 0.000 0.000 1 Clk NET DELAY 0.000 0.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.238 1.238 3 Clk_c NET DELAY 0.529 1.767 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 1.767 13 clk2 NET DELAY 1.111 2.878 13 CNT2_e3_e3_e3_20__i0.ff_inst/CLK CLOCK PIN 0.000 2.878 1 Data Path { "path_begin": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i0.ff_inst/Q", "phy_name":"SLICE_1/Q1" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i1.ff_inst/DF", "phy_name":"SLICE_2/DI0" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20__i0.ff_inst/CLK", "phy_name":"SLICE_1/CLK" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20__i0.ff_inst/Q", "phy_name":"SLICE_1/Q1" }, "arrive":3.186, "delay":0.308 }, { "type":"net_delay", "net": { "log_name":"CNT2[0]", "phy_name":"CNT2[0]" }, "arrive":3.336, "delay":0.150 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_cin/B1", "phy_name":"SLICE_1/B1" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_cin/COUT", "phy_name":"SLICE_1/FCO" }, "arrive":3.653, "delay":0.317 }, { "type":"net_delay", "net": { "log_name":"n134", "phy_name":"n134" }, "arrive":3.653, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_3/CIN", "phy_name":"SLICE_2/FCI" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_3/S0", "phy_name":"SLICE_2/F0" }, "arrive":3.861, "delay":0.208 }, { "type":"net_delay", "net": { "log_name":"CNT2_7__N_3[1]", "phy_name":"CNT2_7__N_3[1]" }, "arrive":3.861, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":3.861, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ CNT2_e3_e3_e3_20__i0.ff_inst/CLK->CNT2_e3_e3_e3_20__i0.ff_inst/Q SLICE_R3C67A REG_DEL 0.308 3.186 2 CNT2[0] NET DELAY 0.150 3.336 2 CNT2_e3_e3_e3_20_add_4_cin/B1->CNT2_e3_e3_e3_20_add_4_cin/COUT SLICE_R3C67A C1TOFCO_DEL 0.317 3.653 1 n134 NET DELAY 0.000 3.653 1 CNT2_e3_e3_e3_20_add_4_3/CIN->CNT2_e3_e3_e3_20_add_4_3/S0 SLICE_R3C67B FCITOF0_DEL 0.208 3.861 1 CNT2_7__N_3[1] NET DELAY 0.000 3.861 1 CNT2_e3_e3_e3_20__i1.ff_inst/DF ENDPOINT 0.000 3.861 1 Destination Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i1.ff_inst/CLK", "phy_name":"SLICE_2/CLK" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":40.000, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":40.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":41.238, "delay":1.238 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":41.754, "delay":0.516 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":41.754, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":42.819, "delay":1.065 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":42.819, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ CONSTRAINT 0.000 40.000 1 Clk top CLOCK LATENCY 0.000 40.000 1 Clk NET DELAY 0.000 40.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.238 41.238 3 Clk_c NET DELAY 0.516 41.754 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 41.754 13 clk2 NET DELAY 1.065 42.819 13 {CNT2_e3_e3_e3_20__i1.ff_inst/CLK CNT2_e3_e3_e3_20__i2.ff_inst/CLK} CLOCK PIN 0.000 42.819 1 Uncertainty -(0.000) 42.819 Common Path Skew 0.058 42.877 Setup time -(-0.058) 42.935 ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Required Time 42.935 Arrival Time -(3.861) ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Path Slack (Passed) 39.074 ++++ Path 38 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Path Begin : CNT2_e3_e3_e3_20__i3.ff_inst/Q (SLICE_R3C67C) Path End : CNT2_e3_e3_e3_20__i5.ff_inst/DF (SLICE_R3C67D) Source Clock : CLK2 (R) Destination Clock: CLK2 (R) Logic Level : 3 Delay Ratio : 15.8% (route), 84.2% (logic) Clock Skew : -0.059 ns Setup Constraint : 40.000 ns Common Path Skew : 0.058 ns Path Slack : 39.077 ns (Passed) Source Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i3.ff_inst/CLK", "phy_name":"SLICE_0/CLK" }, "path_sections":[ { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":0.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":1.238, "delay":1.238 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":1.767, "delay":0.529 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":1.767, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":2.878, "delay":1.111 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.878, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Clk top CLOCK LATENCY 0.000 0.000 1 Clk NET DELAY 0.000 0.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.238 1.238 3 Clk_c NET DELAY 0.529 1.767 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 1.767 13 clk2 NET DELAY 1.111 2.878 13 {CNT2_e3_e3_e3_20__i3.ff_inst/CLK CNT2_e3_e3_e3_20__i4.ff_inst/CLK} CLOCK PIN 0.000 2.878 1 Data Path { "path_begin": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i3.ff_inst/Q", "phy_name":"SLICE_0/Q0" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i5.ff_inst/DF", "phy_name":"SLICE_3/DI0" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20__i3.ff_inst/CLK", "phy_name":"SLICE_0/CLK" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20__i3.ff_inst/Q", "phy_name":"SLICE_0/Q0" }, "arrive":3.183, "delay":0.305 }, { "type":"net_delay", "net": { "log_name":"CNT2[3]", "phy_name":"CNT2[3]" }, "arrive":3.333, "delay":0.150 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_5/B0", "phy_name":"SLICE_0/B0" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_5/COUT", "phy_name":"SLICE_0/FCO" }, "arrive":3.645, "delay":0.286 }, { "type":"net_delay", "net": { "log_name":"n136", "phy_name":"n136" }, "arrive":3.645, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_7/CIN", "phy_name":"SLICE_3/FCI" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_7/S0", "phy_name":"SLICE_3/F0" }, "arrive":3.853, "delay":0.208 }, { "type":"net_delay", "net": { "log_name":"CNT2_7__N_3[5]", "phy_name":"CNT2_7__N_3[5]" }, "arrive":3.853, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":3.853, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ CNT2_e3_e3_e3_20__i3.ff_inst/CLK->CNT2_e3_e3_e3_20__i3.ff_inst/Q SLICE_R3C67C REG_DEL 0.305 3.183 2 CNT2[3] NET DELAY 0.150 3.333 2 CNT2_e3_e3_e3_20_add_4_5/B0->CNT2_e3_e3_e3_20_add_4_5/COUT SLICE_R3C67C C0TOFCO_DEL 0.286 3.645 1 n136 NET DELAY 0.000 3.645 1 CNT2_e3_e3_e3_20_add_4_7/CIN->CNT2_e3_e3_e3_20_add_4_7/S0 SLICE_R3C67D FCITOF0_DEL 0.208 3.853 1 CNT2_7__N_3[5] NET DELAY 0.000 3.853 1 CNT2_e3_e3_e3_20__i5.ff_inst/DF ENDPOINT 0.000 3.853 1 Destination Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i5.ff_inst/CLK", "phy_name":"SLICE_3/CLK" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":40.000, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":40.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":41.238, "delay":1.238 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":41.754, "delay":0.516 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":41.754, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":42.819, "delay":1.065 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":42.819, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ CONSTRAINT 0.000 40.000 1 Clk top CLOCK LATENCY 0.000 40.000 1 Clk NET DELAY 0.000 40.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.238 41.238 3 Clk_c NET DELAY 0.516 41.754 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 41.754 13 clk2 NET DELAY 1.065 42.819 13 {CNT2_e3_e3_e3_20__i5.ff_inst/CLK CNT2_e3_e3_e3_20__i6.ff_inst/CLK} CLOCK PIN 0.000 42.819 1 Uncertainty -(0.000) 42.819 Common Path Skew 0.058 42.877 Setup time -(-0.058) 42.935 ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Required Time 42.935 Arrival Time -(3.858) ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Path Slack (Passed) 39.077 ++++ Path 39 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Path Begin : CNT2_e3_e3_e3_20__i4.ff_inst/Q (SLICE_R3C67C) Path End : CNT2_e3_e3_e3_20__i5.ff_inst/DF (SLICE_R3C67D) Source Clock : CLK2 (R) Destination Clock: CLK2 (R) Logic Level : 3 Delay Ratio : 23.4% (route), 76.6% (logic) Clock Skew : -0.059 ns Setup Constraint : 40.000 ns Common Path Skew : 0.058 ns Path Slack : 38.997 ns (Passed) Source Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i3.ff_inst/CLK", "phy_name":"SLICE_0/CLK" }, "path_sections":[ { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":0.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":1.238, "delay":1.238 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":1.767, "delay":0.529 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":1.767, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":2.878, "delay":1.111 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.878, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Clk top CLOCK LATENCY 0.000 0.000 1 Clk NET DELAY 0.000 0.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.238 1.238 3 Clk_c NET DELAY 0.529 1.767 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 1.767 13 clk2 NET DELAY 1.111 2.878 13 {CNT2_e3_e3_e3_20__i3.ff_inst/CLK CNT2_e3_e3_e3_20__i4.ff_inst/CLK} CLOCK PIN 0.000 2.878 1 Data Path { "path_begin": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i4.ff_inst/Q", "phy_name":"SLICE_0/Q1" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i5.ff_inst/DF", "phy_name":"SLICE_3/DI0" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20__i4.ff_inst/CLK", "phy_name":"SLICE_0/CLK" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20__i4.ff_inst/Q", "phy_name":"SLICE_0/Q1" }, "arrive":3.172, "delay":0.294 }, { "type":"net_delay", "net": { "log_name":"CNT2[4]", "phy_name":"CNT2[4]" }, "arrive":3.413, "delay":0.241 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_5/B1", "phy_name":"SLICE_0/B1" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_5/COUT", "phy_name":"SLICE_0/FCO" }, "arrive":3.725, "delay":0.286 }, { "type":"net_delay", "net": { "log_name":"n136", "phy_name":"n136" }, "arrive":3.725, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_7/CIN", "phy_name":"SLICE_3/FCI" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_7/S0", "phy_name":"SLICE_3/F0" }, "arrive":3.933, "delay":0.208 }, { "type":"net_delay", "net": { "log_name":"CNT2_7__N_3[5]", "phy_name":"CNT2_7__N_3[5]" }, "arrive":3.933, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":3.933, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ CNT2_e3_e3_e3_20__i4.ff_inst/CLK->CNT2_e3_e3_e3_20__i4.ff_inst/Q SLICE_R3C67C REG_DEL 0.294 3.172 2 CNT2[4] NET DELAY 0.241 3.413 2 CNT2_e3_e3_e3_20_add_4_5/B1->CNT2_e3_e3_e3_20_add_4_5/COUT SLICE_R3C67C C1TOFCO_DEL 0.286 3.725 1 n136 NET DELAY 0.000 3.725 1 CNT2_e3_e3_e3_20_add_4_7/CIN->CNT2_e3_e3_e3_20_add_4_7/S0 SLICE_R3C67D FCITOF0_DEL 0.208 3.933 1 CNT2_7__N_3[5] NET DELAY 0.000 3.933 1 CNT2_e3_e3_e3_20__i5.ff_inst/DF ENDPOINT 0.000 3.933 1 Destination Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i5.ff_inst/CLK", "phy_name":"SLICE_3/CLK" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":40.000, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":40.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":41.238, "delay":1.238 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":41.754, "delay":0.516 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":41.754, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":42.819, "delay":1.065 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":42.819, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ CONSTRAINT 0.000 40.000 1 Clk top CLOCK LATENCY 0.000 40.000 1 Clk NET DELAY 0.000 40.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.238 41.238 3 Clk_c NET DELAY 0.516 41.754 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 41.754 13 clk2 NET DELAY 1.065 42.819 13 {CNT2_e3_e3_e3_20__i5.ff_inst/CLK CNT2_e3_e3_e3_20__i6.ff_inst/CLK} CLOCK PIN 0.000 42.819 1 Uncertainty -(0.000) 42.819 Common Path Skew 0.058 42.877 Setup time -(-0.058) 42.935 ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Required Time 42.935 Arrival Time -(3.938) ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Path Slack (Passed) 38.997 ++++ Path 40 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Path Begin : CNT2_e3_e3_e3_20__i3.ff_inst/Q (SLICE_R3C67C) Path End : CNT2_e3_e3_e3_20__i4.ff_inst/DF (SLICE_R3C67C) Source Clock : CLK2 (R) Destination Clock: CLK2 (R) Logic Level : 2 Delay Ratio : 16.8% (route), 83.2% (logic) Clock Skew : -0.059 ns Setup Constraint : 40.000 ns Common Path Skew : 0.058 ns Path Slack : 39.163 ns (Passed) Source Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i3.ff_inst/CLK", "phy_name":"SLICE_0/CLK" }, "path_sections":[ { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":0.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":1.238, "delay":1.238 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":1.767, "delay":0.529 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":1.767, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":2.878, "delay":1.111 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.878, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Clk top CLOCK LATENCY 0.000 0.000 1 Clk NET DELAY 0.000 0.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.238 1.238 3 Clk_c NET DELAY 0.529 1.767 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 1.767 13 clk2 NET DELAY 1.111 2.878 13 {CNT2_e3_e3_e3_20__i3.ff_inst/CLK CNT2_e3_e3_e3_20__i4.ff_inst/CLK} CLOCK PIN 0.000 2.878 1 Data Path { "path_begin": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i3.ff_inst/Q", "phy_name":"SLICE_0/Q0" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i4.ff_inst/DF", "phy_name":"SLICE_0/DI1" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20__i3.ff_inst/CLK", "phy_name":"SLICE_0/CLK" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20__i3.ff_inst/Q", "phy_name":"SLICE_0/Q0" }, "arrive":3.183, "delay":0.305 }, { "type":"net_delay", "net": { "log_name":"CNT2[3]", "phy_name":"CNT2[3]" }, "arrive":3.333, "delay":0.150 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_5/B0", "phy_name":"SLICE_0/B0" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_5/S1", "phy_name":"SLICE_0/F1" }, "arrive":3.768, "delay":0.440 }, { "type":"net_delay", "net": { "log_name":"CNT2_7__N_3[4]", "phy_name":"CNT2_7__N_3[4]" }, "arrive":3.768, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":3.768, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ CNT2_e3_e3_e3_20__i3.ff_inst/CLK->CNT2_e3_e3_e3_20__i3.ff_inst/Q SLICE_R3C67C REG_DEL 0.305 3.183 2 CNT2[3] NET DELAY 0.150 3.333 2 CNT2_e3_e3_e3_20_add_4_5/B0->CNT2_e3_e3_e3_20_add_4_5/S1 SLICE_R3C67C CTOF_DEL 0.440 3.768 1 CNT2_7__N_3[4] NET DELAY 0.000 3.768 1 CNT2_e3_e3_e3_20__i4.ff_inst/DF ENDPOINT 0.000 3.768 1 Destination Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i3.ff_inst/CLK", "phy_name":"SLICE_0/CLK" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":40.000, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":40.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":41.238, "delay":1.238 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":41.754, "delay":0.516 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":41.754, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":42.819, "delay":1.065 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":42.819, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ CONSTRAINT 0.000 40.000 1 Clk top CLOCK LATENCY 0.000 40.000 1 Clk NET DELAY 0.000 40.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.238 41.238 3 Clk_c NET DELAY 0.516 41.754 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 41.754 13 clk2 NET DELAY 1.065 42.819 13 {CNT2_e3_e3_e3_20__i3.ff_inst/CLK CNT2_e3_e3_e3_20__i4.ff_inst/CLK} CLOCK PIN 0.000 42.819 1 Uncertainty -(0.000) 42.819 Common Path Skew 0.058 42.877 Setup time -(-0.059) 42.936 ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Required Time 42.936 Arrival Time -(3.773) ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Path Slack (Passed) 39.163 ++++ Path 41 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Path Begin : CNT2_e3_e3_e3_20__i5.ff_inst/Q (SLICE_R3C67D) Path End : CNT2_e3_e3_e3_20__i6.ff_inst/DF (SLICE_R3C67D) Source Clock : CLK2 (R) Destination Clock: CLK2 (R) Logic Level : 2 Delay Ratio : 16.8% (route), 83.2% (logic) Clock Skew : -0.059 ns Setup Constraint : 40.000 ns Common Path Skew : 0.058 ns Path Slack : 39.163 ns (Passed) Source Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i5.ff_inst/CLK", "phy_name":"SLICE_3/CLK" }, "path_sections":[ { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":0.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":1.238, "delay":1.238 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":1.767, "delay":0.529 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":1.767, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":2.878, "delay":1.111 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.878, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Clk top CLOCK LATENCY 0.000 0.000 1 Clk NET DELAY 0.000 0.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.238 1.238 3 Clk_c NET DELAY 0.529 1.767 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 1.767 13 clk2 NET DELAY 1.111 2.878 13 {CNT2_e3_e3_e3_20__i5.ff_inst/CLK CNT2_e3_e3_e3_20__i6.ff_inst/CLK} CLOCK PIN 0.000 2.878 1 Data Path { "path_begin": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i5.ff_inst/Q", "phy_name":"SLICE_3/Q0" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i6.ff_inst/DF", "phy_name":"SLICE_3/DI1" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20__i5.ff_inst/CLK", "phy_name":"SLICE_3/CLK" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20__i5.ff_inst/Q", "phy_name":"SLICE_3/Q0" }, "arrive":3.183, "delay":0.305 }, { "type":"net_delay", "net": { "log_name":"CNT2[5]", "phy_name":"CNT2[5]" }, "arrive":3.333, "delay":0.150 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_7/B0", "phy_name":"SLICE_3/B0" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_7/S1", "phy_name":"SLICE_3/F1" }, "arrive":3.768, "delay":0.440 }, { "type":"net_delay", "net": { "log_name":"CNT2_7__N_3[6]", "phy_name":"CNT2_7__N_3[6]" }, "arrive":3.768, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":3.768, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ CNT2_e3_e3_e3_20__i5.ff_inst/CLK->CNT2_e3_e3_e3_20__i5.ff_inst/Q SLICE_R3C67D REG_DEL 0.305 3.183 2 CNT2[5] NET DELAY 0.150 3.333 2 CNT2_e3_e3_e3_20_add_4_7/B0->CNT2_e3_e3_e3_20_add_4_7/S1 SLICE_R3C67D CTOF_DEL 0.440 3.768 1 CNT2_7__N_3[6] NET DELAY 0.000 3.768 1 CNT2_e3_e3_e3_20__i6.ff_inst/DF ENDPOINT 0.000 3.768 1 Destination Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i5.ff_inst/CLK", "phy_name":"SLICE_3/CLK" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":40.000, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":40.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":41.238, "delay":1.238 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":41.754, "delay":0.516 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":41.754, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":42.819, "delay":1.065 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":42.819, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ CONSTRAINT 0.000 40.000 1 Clk top CLOCK LATENCY 0.000 40.000 1 Clk NET DELAY 0.000 40.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.238 41.238 3 Clk_c NET DELAY 0.516 41.754 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 41.754 13 clk2 NET DELAY 1.065 42.819 13 {CNT2_e3_e3_e3_20__i5.ff_inst/CLK CNT2_e3_e3_e3_20__i6.ff_inst/CLK} CLOCK PIN 0.000 42.819 1 Uncertainty -(0.000) 42.819 Common Path Skew 0.058 42.877 Setup time -(-0.059) 42.936 ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Required Time 42.936 Arrival Time -(3.773) ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Path Slack (Passed) 39.163 ++++ Path 42 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Path Begin : CNT2_e3_e3_e3_20__i7.ff_inst/Q (SLICE_R3C68A) Path End : CNT2_e3_e3_e3_20__i7.ff_inst/DF (SLICE_R3C68A) Source Clock : CLK2 (R) Destination Clock: CLK2 (R) Logic Level : 2 Delay Ratio : 35.3% (route), 64.7% (logic) Clock Skew : -0.059 ns Setup Constraint : 40.000 ns Common Path Skew : 0.058 ns Path Slack : 39.256 ns (Passed) Source Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i7.ff_inst/CLK", "phy_name":"SLICE_4/CLK" }, "path_sections":[ { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":0.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":1.238, "delay":1.238 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":1.767, "delay":0.529 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":1.767, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":2.878, "delay":1.111 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.878, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Clk top CLOCK LATENCY 0.000 0.000 1 Clk NET DELAY 0.000 0.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.238 1.238 3 Clk_c NET DELAY 0.529 1.767 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 1.767 13 clk2 NET DELAY 1.111 2.878 13 CNT2_e3_e3_e3_20__i7.ff_inst/CLK CLOCK PIN 0.000 2.878 1 Data Path { "path_begin": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i7.ff_inst/Q", "phy_name":"SLICE_4/Q0" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i7.ff_inst/DF", "phy_name":"SLICE_4/DI0" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20__i7.ff_inst/CLK", "phy_name":"SLICE_4/CLK" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20__i7.ff_inst/Q", "phy_name":"SLICE_4/Q0" }, "arrive":3.183, "delay":0.305 }, { "type":"net_delay", "net": { "log_name":"CNT2[7]", "phy_name":"CNT2[7]" }, "arrive":3.466, "delay":0.283 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_9/B0", "phy_name":"SLICE_4/B0" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_9/S0", "phy_name":"SLICE_4/F0" }, "arrive":3.674, "delay":0.213 }, { "type":"net_delay", "net": { "log_name":"CNT2_7__N_3[7]", "phy_name":"CNT2_7__N_3[7]" }, "arrive":3.674, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":3.674, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ CNT2_e3_e3_e3_20__i7.ff_inst/CLK->CNT2_e3_e3_e3_20__i7.ff_inst/Q SLICE_R3C68A REG_DEL 0.305 3.183 2 CNT2[7] NET DELAY 0.283 3.466 2 CNT2_e3_e3_e3_20_add_4_9/B0->CNT2_e3_e3_e3_20_add_4_9/S0 SLICE_R3C68A CTOF_DEL 0.213 3.674 1 CNT2_7__N_3[7] NET DELAY 0.000 3.674 1 CNT2_e3_e3_e3_20__i7.ff_inst/DF ENDPOINT 0.000 3.674 1 Destination Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i7.ff_inst/CLK", "phy_name":"SLICE_4/CLK" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":40.000, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":40.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":41.238, "delay":1.238 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":41.754, "delay":0.516 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":41.754, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":42.819, "delay":1.065 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":42.819, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ CONSTRAINT 0.000 40.000 1 Clk top CLOCK LATENCY 0.000 40.000 1 Clk NET DELAY 0.000 40.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.238 41.238 3 Clk_c NET DELAY 0.516 41.754 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 41.754 13 clk2 NET DELAY 1.065 42.819 13 CNT2_e3_e3_e3_20__i7.ff_inst/CLK CLOCK PIN 0.000 42.819 1 Uncertainty -(0.000) 42.819 Common Path Skew 0.058 42.877 Setup time -(-0.058) 42.935 ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Required Time 42.935 Arrival Time -(3.679) ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Path Slack (Passed) 39.256 ++++ Path 43 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Path Begin : CNT2_e3_e3_e3_20__i1.ff_inst/Q (SLICE_R3C67B) Path End : CNT2_e3_e3_e3_20__i1.ff_inst/DF (SLICE_R3C67B) Source Clock : CLK2 (R) Destination Clock: CLK2 (R) Logic Level : 2 Delay Ratio : 35.3% (route), 64.7% (logic) Clock Skew : -0.059 ns Setup Constraint : 40.000 ns Common Path Skew : 0.058 ns Path Slack : 39.256 ns (Passed) Source Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i1.ff_inst/CLK", "phy_name":"SLICE_2/CLK" }, "path_sections":[ { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":0.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":1.238, "delay":1.238 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":1.767, "delay":0.529 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":1.767, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":2.878, "delay":1.111 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.878, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Clk top CLOCK LATENCY 0.000 0.000 1 Clk NET DELAY 0.000 0.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.238 1.238 3 Clk_c NET DELAY 0.529 1.767 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 1.767 13 clk2 NET DELAY 1.111 2.878 13 {CNT2_e3_e3_e3_20__i1.ff_inst/CLK CNT2_e3_e3_e3_20__i2.ff_inst/CLK} CLOCK PIN 0.000 2.878 1 Data Path { "path_begin": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i1.ff_inst/Q", "phy_name":"SLICE_2/Q0" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i1.ff_inst/DF", "phy_name":"SLICE_2/DI0" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20__i1.ff_inst/CLK", "phy_name":"SLICE_2/CLK" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20__i1.ff_inst/Q", "phy_name":"SLICE_2/Q0" }, "arrive":3.183, "delay":0.305 }, { "type":"net_delay", "net": { "log_name":"CNT2[1]", "phy_name":"CNT2[1]" }, "arrive":3.466, "delay":0.283 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_3/B0", "phy_name":"SLICE_2/B0" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_3/S0", "phy_name":"SLICE_2/F0" }, "arrive":3.679, "delay":0.213 }, { "type":"net_delay", "net": { "log_name":"CNT2_7__N_3[1]", "phy_name":"CNT2_7__N_3[1]" }, "arrive":3.679, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":3.679, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ CNT2_e3_e3_e3_20__i1.ff_inst/CLK->CNT2_e3_e3_e3_20__i1.ff_inst/Q SLICE_R3C67B REG_DEL 0.305 3.183 2 CNT2[1] NET DELAY 0.283 3.466 2 CNT2_e3_e3_e3_20_add_4_3/B0->CNT2_e3_e3_e3_20_add_4_3/S0 SLICE_R3C67B CTOF_DEL 0.213 3.679 1 CNT2_7__N_3[1] NET DELAY 0.000 3.679 1 CNT2_e3_e3_e3_20__i1.ff_inst/DF ENDPOINT 0.000 3.679 1 Destination Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i1.ff_inst/CLK", "phy_name":"SLICE_2/CLK" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":40.000, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":40.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":41.238, "delay":1.238 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":41.754, "delay":0.516 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":41.754, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":42.819, "delay":1.065 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":42.819, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ CONSTRAINT 0.000 40.000 1 Clk top CLOCK LATENCY 0.000 40.000 1 Clk NET DELAY 0.000 40.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.238 41.238 3 Clk_c NET DELAY 0.516 41.754 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 41.754 13 clk2 NET DELAY 1.065 42.819 13 {CNT2_e3_e3_e3_20__i1.ff_inst/CLK CNT2_e3_e3_e3_20__i2.ff_inst/CLK} CLOCK PIN 0.000 42.819 1 Uncertainty -(0.000) 42.819 Common Path Skew 0.058 42.877 Setup time -(-0.058) 42.935 ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Required Time 42.935 Arrival Time -(3.679) ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Path Slack (Passed) 39.256 ++++ Path 44 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Path Begin : CNT2_e3_e3_e3_20__i6.ff_inst/Q (SLICE_R3C67D) Path End : CNT2_e3_e3_e3_20__i6.ff_inst/DF (SLICE_R3C67D) Source Clock : CLK2 (R) Destination Clock: CLK2 (R) Logic Level : 2 Delay Ratio : 34.5% (route), 65.5% (logic) Clock Skew : -0.059 ns Setup Constraint : 40.000 ns Common Path Skew : 0.058 ns Path Slack : 39.261 ns (Passed) Source Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i5.ff_inst/CLK", "phy_name":"SLICE_3/CLK" }, "path_sections":[ { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":0.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":1.238, "delay":1.238 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":1.767, "delay":0.529 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":1.767, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":2.878, "delay":1.111 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.878, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Clk top CLOCK LATENCY 0.000 0.000 1 Clk NET DELAY 0.000 0.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.238 1.238 3 Clk_c NET DELAY 0.529 1.767 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 1.767 13 clk2 NET DELAY 1.111 2.878 13 {CNT2_e3_e3_e3_20__i5.ff_inst/CLK CNT2_e3_e3_e3_20__i6.ff_inst/CLK} CLOCK PIN 0.000 2.878 1 Data Path { "path_begin": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i6.ff_inst/Q", "phy_name":"SLICE_3/Q1" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i6.ff_inst/DF", "phy_name":"SLICE_3/DI1" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20__i6.ff_inst/CLK", "phy_name":"SLICE_3/CLK" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20__i6.ff_inst/Q", "phy_name":"SLICE_3/Q1" }, "arrive":3.186, "delay":0.308 }, { "type":"net_delay", "net": { "log_name":"CNT2[6]", "phy_name":"CNT2[6]" }, "arrive":3.460, "delay":0.274 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_7/B1", "phy_name":"SLICE_3/B1" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_7/S1", "phy_name":"SLICE_3/F1" }, "arrive":3.668, "delay":0.213 }, { "type":"net_delay", "net": { "log_name":"CNT2_7__N_3[6]", "phy_name":"CNT2_7__N_3[6]" }, "arrive":3.668, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":3.668, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ CNT2_e3_e3_e3_20__i6.ff_inst/CLK->CNT2_e3_e3_e3_20__i6.ff_inst/Q SLICE_R3C67D REG_DEL 0.308 3.186 2 CNT2[6] NET DELAY 0.274 3.460 2 CNT2_e3_e3_e3_20_add_4_7/B1->CNT2_e3_e3_e3_20_add_4_7/S1 SLICE_R3C67D CTOF_DEL 0.213 3.668 1 CNT2_7__N_3[6] NET DELAY 0.000 3.668 1 CNT2_e3_e3_e3_20__i6.ff_inst/DF ENDPOINT 0.000 3.668 1 Destination Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i5.ff_inst/CLK", "phy_name":"SLICE_3/CLK" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":40.000, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":40.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":41.238, "delay":1.238 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":41.754, "delay":0.516 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":41.754, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":42.819, "delay":1.065 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":42.819, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ CONSTRAINT 0.000 40.000 1 Clk top CLOCK LATENCY 0.000 40.000 1 Clk NET DELAY 0.000 40.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.238 41.238 3 Clk_c NET DELAY 0.516 41.754 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 41.754 13 clk2 NET DELAY 1.065 42.819 13 {CNT2_e3_e3_e3_20__i5.ff_inst/CLK CNT2_e3_e3_e3_20__i6.ff_inst/CLK} CLOCK PIN 0.000 42.819 1 Uncertainty -(0.000) 42.819 Common Path Skew 0.058 42.877 Setup time -(-0.057) 42.934 ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Required Time 42.934 Arrival Time -(3.673) ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Path Slack (Passed) 39.261 ++++ Path 45 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Path Begin : CNT2_e3_e3_e3_20__i4.ff_inst/Q (SLICE_R3C67C) Path End : CNT2_e3_e3_e3_20__i4.ff_inst/DF (SLICE_R3C67C) Source Clock : CLK2 (R) Destination Clock: CLK2 (R) Logic Level : 2 Delay Ratio : 32.2% (route), 67.8% (logic) Clock Skew : -0.059 ns Setup Constraint : 40.000 ns Common Path Skew : 0.058 ns Path Slack : 39.308 ns (Passed) Source Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i3.ff_inst/CLK", "phy_name":"SLICE_0/CLK" }, "path_sections":[ { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":0.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":1.238, "delay":1.238 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":1.767, "delay":0.529 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":1.767, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":2.878, "delay":1.111 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.878, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Clk top CLOCK LATENCY 0.000 0.000 1 Clk NET DELAY 0.000 0.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.238 1.238 3 Clk_c NET DELAY 0.529 1.767 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 1.767 13 clk2 NET DELAY 1.111 2.878 13 {CNT2_e3_e3_e3_20__i3.ff_inst/CLK CNT2_e3_e3_e3_20__i4.ff_inst/CLK} CLOCK PIN 0.000 2.878 1 Data Path { "path_begin": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i4.ff_inst/Q", "phy_name":"SLICE_0/Q1" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i4.ff_inst/DF", "phy_name":"SLICE_0/DI1" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20__i4.ff_inst/CLK", "phy_name":"SLICE_0/CLK" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20__i4.ff_inst/Q", "phy_name":"SLICE_0/Q1" }, "arrive":3.172, "delay":0.294 }, { "type":"net_delay", "net": { "log_name":"CNT2[4]", "phy_name":"CNT2[4]" }, "arrive":3.413, "delay":0.241 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_5/B1", "phy_name":"SLICE_0/B1" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_5/S1", "phy_name":"SLICE_0/F1" }, "arrive":3.621, "delay":0.213 }, { "type":"net_delay", "net": { "log_name":"CNT2_7__N_3[4]", "phy_name":"CNT2_7__N_3[4]" }, "arrive":3.621, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":3.621, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ CNT2_e3_e3_e3_20__i4.ff_inst/CLK->CNT2_e3_e3_e3_20__i4.ff_inst/Q SLICE_R3C67C REG_DEL 0.294 3.172 2 CNT2[4] NET DELAY 0.241 3.413 2 CNT2_e3_e3_e3_20_add_4_5/B1->CNT2_e3_e3_e3_20_add_4_5/S1 SLICE_R3C67C CTOF_DEL 0.213 3.621 1 CNT2_7__N_3[4] NET DELAY 0.000 3.621 1 CNT2_e3_e3_e3_20__i4.ff_inst/DF ENDPOINT 0.000 3.621 1 Destination Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i3.ff_inst/CLK", "phy_name":"SLICE_0/CLK" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":40.000, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":40.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":41.238, "delay":1.238 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":41.754, "delay":0.516 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":41.754, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":42.819, "delay":1.065 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":42.819, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ CONSTRAINT 0.000 40.000 1 Clk top CLOCK LATENCY 0.000 40.000 1 Clk NET DELAY 0.000 40.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.238 41.238 3 Clk_c NET DELAY 0.516 41.754 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 41.754 13 clk2 NET DELAY 1.065 42.819 13 {CNT2_e3_e3_e3_20__i3.ff_inst/CLK CNT2_e3_e3_e3_20__i4.ff_inst/CLK} CLOCK PIN 0.000 42.819 1 Uncertainty -(0.000) 42.819 Common Path Skew 0.058 42.877 Setup time -(-0.057) 42.934 ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Required Time 42.934 Arrival Time -(3.626) ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Path Slack (Passed) 39.308 ++++ Path 46 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Path Begin : CNT2_e3_e3_e3_20__i2.ff_inst/Q (SLICE_R3C67B) Path End : CNT2_e3_e3_e3_20__i2.ff_inst/DF (SLICE_R3C67B) Source Clock : CLK2 (R) Destination Clock: CLK2 (R) Logic Level : 2 Delay Ratio : 22.4% (route), 77.6% (logic) Clock Skew : -0.059 ns Setup Constraint : 40.000 ns Common Path Skew : 0.058 ns Path Slack : 39.385 ns (Passed) Source Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i1.ff_inst/CLK", "phy_name":"SLICE_2/CLK" }, "path_sections":[ { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":0.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":1.238, "delay":1.238 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":1.767, "delay":0.529 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":1.767, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":2.878, "delay":1.111 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.878, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Clk top CLOCK LATENCY 0.000 0.000 1 Clk NET DELAY 0.000 0.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.238 1.238 3 Clk_c NET DELAY 0.529 1.767 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 1.767 13 clk2 NET DELAY 1.111 2.878 13 {CNT2_e3_e3_e3_20__i1.ff_inst/CLK CNT2_e3_e3_e3_20__i2.ff_inst/CLK} CLOCK PIN 0.000 2.878 1 Data Path { "path_begin": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i2.ff_inst/Q", "phy_name":"SLICE_2/Q1" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i2.ff_inst/DF", "phy_name":"SLICE_2/DI1" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20__i2.ff_inst/CLK", "phy_name":"SLICE_2/CLK" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20__i2.ff_inst/Q", "phy_name":"SLICE_2/Q1" }, "arrive":3.186, "delay":0.308 }, { "type":"net_delay", "net": { "log_name":"CNT2[2]", "phy_name":"CNT2[2]" }, "arrive":3.336, "delay":0.150 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_3/B1", "phy_name":"SLICE_2/B1" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_3/S1", "phy_name":"SLICE_2/F1" }, "arrive":3.549, "delay":0.213 }, { "type":"net_delay", "net": { "log_name":"CNT2_7__N_3[2]", "phy_name":"CNT2_7__N_3[2]" }, "arrive":3.549, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":3.549, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ CNT2_e3_e3_e3_20__i2.ff_inst/CLK->CNT2_e3_e3_e3_20__i2.ff_inst/Q SLICE_R3C67B REG_DEL 0.308 3.186 2 CNT2[2] NET DELAY 0.150 3.336 2 CNT2_e3_e3_e3_20_add_4_3/B1->CNT2_e3_e3_e3_20_add_4_3/S1 SLICE_R3C67B CTOF_DEL 0.213 3.549 1 CNT2_7__N_3[2] NET DELAY 0.000 3.549 1 CNT2_e3_e3_e3_20__i2.ff_inst/DF ENDPOINT 0.000 3.549 1 Destination Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i1.ff_inst/CLK", "phy_name":"SLICE_2/CLK" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":40.000, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":40.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":41.238, "delay":1.238 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":41.754, "delay":0.516 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":41.754, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":42.819, "delay":1.065 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":42.819, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ CONSTRAINT 0.000 40.000 1 Clk top CLOCK LATENCY 0.000 40.000 1 Clk NET DELAY 0.000 40.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.238 41.238 3 Clk_c NET DELAY 0.516 41.754 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 41.754 13 clk2 NET DELAY 1.065 42.819 13 {CNT2_e3_e3_e3_20__i1.ff_inst/CLK CNT2_e3_e3_e3_20__i2.ff_inst/CLK} CLOCK PIN 0.000 42.819 1 Uncertainty -(0.000) 42.819 Common Path Skew 0.058 42.877 Setup time -(-0.057) 42.934 ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Required Time 42.934 Arrival Time -(3.549) ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Path Slack (Passed) 39.385 ++++ Path 47 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Path Begin : CNT2_e3_e3_e3_20__i0.ff_inst/Q (SLICE_R3C67A) Path End : CNT2_e3_e3_e3_20__i0.ff_inst/DF (SLICE_R3C67A) Source Clock : CLK2 (R) Destination Clock: CLK2 (R) Logic Level : 2 Delay Ratio : 22.4% (route), 77.6% (logic) Clock Skew : -0.059 ns Setup Constraint : 40.000 ns Common Path Skew : 0.058 ns Path Slack : 39.385 ns (Passed) Source Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i0.ff_inst/CLK", "phy_name":"SLICE_1/CLK" }, "path_sections":[ { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":0.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":1.238, "delay":1.238 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":1.767, "delay":0.529 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":1.767, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":2.878, "delay":1.111 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.878, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Clk top CLOCK LATENCY 0.000 0.000 1 Clk NET DELAY 0.000 0.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.238 1.238 3 Clk_c NET DELAY 0.529 1.767 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 1.767 13 clk2 NET DELAY 1.111 2.878 13 CNT2_e3_e3_e3_20__i0.ff_inst/CLK CLOCK PIN 0.000 2.878 1 Data Path { "path_begin": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i0.ff_inst/Q", "phy_name":"SLICE_1/Q1" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i0.ff_inst/DF", "phy_name":"SLICE_1/DI1" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20__i0.ff_inst/CLK", "phy_name":"SLICE_1/CLK" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20__i0.ff_inst/Q", "phy_name":"SLICE_1/Q1" }, "arrive":3.186, "delay":0.308 }, { "type":"net_delay", "net": { "log_name":"CNT2[0]", "phy_name":"CNT2[0]" }, "arrive":3.336, "delay":0.150 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_cin/B1", "phy_name":"SLICE_1/B1" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_cin/S1", "phy_name":"SLICE_1/F1" }, "arrive":3.549, "delay":0.213 }, { "type":"net_delay", "net": { "log_name":"CNT2_7__N_3[0]", "phy_name":"CNT2_7__N_3[0]" }, "arrive":3.549, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":3.549, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ CNT2_e3_e3_e3_20__i0.ff_inst/CLK->CNT2_e3_e3_e3_20__i0.ff_inst/Q SLICE_R3C67A REG_DEL 0.308 3.186 2 CNT2[0] NET DELAY 0.150 3.336 2 CNT2_e3_e3_e3_20_add_4_cin/B1->CNT2_e3_e3_e3_20_add_4_cin/S1 SLICE_R3C67A CTOF_DEL 0.213 3.549 1 CNT2_7__N_3[0] NET DELAY 0.000 3.549 1 CNT2_e3_e3_e3_20__i0.ff_inst/DF ENDPOINT 0.000 3.549 1 Destination Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i0.ff_inst/CLK", "phy_name":"SLICE_1/CLK" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":40.000, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":40.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":41.238, "delay":1.238 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":41.754, "delay":0.516 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":41.754, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":42.819, "delay":1.065 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":42.819, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ CONSTRAINT 0.000 40.000 1 Clk top CLOCK LATENCY 0.000 40.000 1 Clk NET DELAY 0.000 40.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.238 41.238 3 Clk_c NET DELAY 0.516 41.754 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 41.754 13 clk2 NET DELAY 1.065 42.819 13 CNT2_e3_e3_e3_20__i0.ff_inst/CLK CLOCK PIN 0.000 42.819 1 Uncertainty -(0.000) 42.819 Common Path Skew 0.058 42.877 Setup time -(-0.057) 42.934 ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Required Time 42.934 Arrival Time -(3.549) ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Path Slack (Passed) 39.385 ++++ Path 48 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Path Begin : CNT2_e3_e3_e3_20__i3.ff_inst/Q (SLICE_R3C67C) Path End : CNT2_e3_e3_e3_20__i3.ff_inst/DF (SLICE_R3C67C) Source Clock : CLK2 (R) Destination Clock: CLK2 (R) Logic Level : 2 Delay Ratio : 22.5% (route), 77.5% (logic) Clock Skew : -0.059 ns Setup Constraint : 40.000 ns Common Path Skew : 0.058 ns Path Slack : 39.389 ns (Passed) Source Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i3.ff_inst/CLK", "phy_name":"SLICE_0/CLK" }, "path_sections":[ { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":0.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":1.238, "delay":1.238 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":1.767, "delay":0.529 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":1.767, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":2.878, "delay":1.111 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.878, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Clk top CLOCK LATENCY 0.000 0.000 1 Clk NET DELAY 0.000 0.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.238 1.238 3 Clk_c NET DELAY 0.529 1.767 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 1.767 13 clk2 NET DELAY 1.111 2.878 13 {CNT2_e3_e3_e3_20__i3.ff_inst/CLK CNT2_e3_e3_e3_20__i4.ff_inst/CLK} CLOCK PIN 0.000 2.878 1 Data Path { "path_begin": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i3.ff_inst/Q", "phy_name":"SLICE_0/Q0" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i3.ff_inst/DF", "phy_name":"SLICE_0/DI0" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20__i3.ff_inst/CLK", "phy_name":"SLICE_0/CLK" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20__i3.ff_inst/Q", "phy_name":"SLICE_0/Q0" }, "arrive":3.183, "delay":0.305 }, { "type":"net_delay", "net": { "log_name":"CNT2[3]", "phy_name":"CNT2[3]" }, "arrive":3.333, "delay":0.150 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_5/B0", "phy_name":"SLICE_0/B0" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_5/S0", "phy_name":"SLICE_0/F0" }, "arrive":3.541, "delay":0.213 }, { "type":"net_delay", "net": { "log_name":"CNT2_7__N_3[3]", "phy_name":"CNT2_7__N_3[3]" }, "arrive":3.541, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":3.541, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ CNT2_e3_e3_e3_20__i3.ff_inst/CLK->CNT2_e3_e3_e3_20__i3.ff_inst/Q SLICE_R3C67C REG_DEL 0.305 3.183 2 CNT2[3] NET DELAY 0.150 3.333 2 CNT2_e3_e3_e3_20_add_4_5/B0->CNT2_e3_e3_e3_20_add_4_5/S0 SLICE_R3C67C CTOF_DEL 0.213 3.541 1 CNT2_7__N_3[3] NET DELAY 0.000 3.541 1 CNT2_e3_e3_e3_20__i3.ff_inst/DF ENDPOINT 0.000 3.541 1 Destination Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i3.ff_inst/CLK", "phy_name":"SLICE_0/CLK" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":40.000, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":40.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":41.238, "delay":1.238 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":41.754, "delay":0.516 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":41.754, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":42.819, "delay":1.065 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":42.819, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ CONSTRAINT 0.000 40.000 1 Clk top CLOCK LATENCY 0.000 40.000 1 Clk NET DELAY 0.000 40.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.238 41.238 3 Clk_c NET DELAY 0.516 41.754 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 41.754 13 clk2 NET DELAY 1.065 42.819 13 {CNT2_e3_e3_e3_20__i3.ff_inst/CLK CNT2_e3_e3_e3_20__i4.ff_inst/CLK} CLOCK PIN 0.000 42.819 1 Uncertainty -(0.000) 42.819 Common Path Skew 0.058 42.877 Setup time -(-0.058) 42.935 ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Required Time 42.935 Arrival Time -(3.546) ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Path Slack (Passed) 39.389 ++++ Path 49 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Path Begin : CNT2_e3_e3_e3_20__i5.ff_inst/Q (SLICE_R3C67D) Path End : CNT2_e3_e3_e3_20__i5.ff_inst/DF (SLICE_R3C67D) Source Clock : CLK2 (R) Destination Clock: CLK2 (R) Logic Level : 2 Delay Ratio : 22.5% (route), 77.5% (logic) Clock Skew : -0.059 ns Setup Constraint : 40.000 ns Common Path Skew : 0.058 ns Path Slack : 39.389 ns (Passed) Source Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i5.ff_inst/CLK", "phy_name":"SLICE_3/CLK" }, "path_sections":[ { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":0.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":1.238, "delay":1.238 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":1.767, "delay":0.529 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":1.767, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":2.878, "delay":1.111 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.878, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Clk top CLOCK LATENCY 0.000 0.000 1 Clk NET DELAY 0.000 0.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.238 1.238 3 Clk_c NET DELAY 0.529 1.767 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 1.767 13 clk2 NET DELAY 1.111 2.878 13 {CNT2_e3_e3_e3_20__i5.ff_inst/CLK CNT2_e3_e3_e3_20__i6.ff_inst/CLK} CLOCK PIN 0.000 2.878 1 Data Path { "path_begin": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i5.ff_inst/Q", "phy_name":"SLICE_3/Q0" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i5.ff_inst/DF", "phy_name":"SLICE_3/DI0" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20__i5.ff_inst/CLK", "phy_name":"SLICE_3/CLK" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20__i5.ff_inst/Q", "phy_name":"SLICE_3/Q0" }, "arrive":3.183, "delay":0.305 }, { "type":"net_delay", "net": { "log_name":"CNT2[5]", "phy_name":"CNT2[5]" }, "arrive":3.333, "delay":0.150 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_7/B0", "phy_name":"SLICE_3/B0" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_7/S0", "phy_name":"SLICE_3/F0" }, "arrive":3.541, "delay":0.213 }, { "type":"net_delay", "net": { "log_name":"CNT2_7__N_3[5]", "phy_name":"CNT2_7__N_3[5]" }, "arrive":3.541, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":3.541, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ CNT2_e3_e3_e3_20__i5.ff_inst/CLK->CNT2_e3_e3_e3_20__i5.ff_inst/Q SLICE_R3C67D REG_DEL 0.305 3.183 2 CNT2[5] NET DELAY 0.150 3.333 2 CNT2_e3_e3_e3_20_add_4_7/B0->CNT2_e3_e3_e3_20_add_4_7/S0 SLICE_R3C67D CTOF_DEL 0.213 3.541 1 CNT2_7__N_3[5] NET DELAY 0.000 3.541 1 CNT2_e3_e3_e3_20__i5.ff_inst/DF ENDPOINT 0.000 3.541 1 Destination Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i5.ff_inst/CLK", "phy_name":"SLICE_3/CLK" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":40.000, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":40.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":41.238, "delay":1.238 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":41.754, "delay":0.516 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":41.754, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":42.819, "delay":1.065 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":42.819, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- --------- --------------------- ------ CONSTRAINT 0.000 40.000 1 Clk top CLOCK LATENCY 0.000 40.000 1 Clk NET DELAY 0.000 40.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.238 41.238 3 Clk_c NET DELAY 0.516 41.754 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 41.754 13 clk2 NET DELAY 1.065 42.819 13 {CNT2_e3_e3_e3_20__i5.ff_inst/CLK CNT2_e3_e3_e3_20__i6.ff_inst/CLK} CLOCK PIN 0.000 42.819 1 Uncertainty -(0.000) 42.819 Common Path Skew 0.058 42.877 Setup time -(-0.058) 42.935 ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Required Time 42.935 Arrival Time -(3.546) ---------------------------------------- -------------- ---------------- --------- --------------------- ------ Path Slack (Passed) 39.389 +++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ End of Detailed Report for timing paths +++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ ########################################################## 4 Hold at Speed Grade m Corner at 0 Degrees 4.1 Endpoint slacks ------------------------------------------------------- Listing 19 End Points | Slack ------------------------------------------------------- CNT1_e3_e3_e3_21__i1.ff_inst/DF | 0.240 ns CNT2_e3_e3_e3_20__i5.ff_inst/DF | 0.241 ns CNT2_e3_e3_e3_20__i3.ff_inst/DF | 0.241 ns CNT2_e3_e3_e3_20__i2.ff_inst/DF | 0.242 ns CNT2_e3_e3_e3_20__i0.ff_inst/DF | 0.242 ns CNT1_e3_e3_e3_21__i0.ff_inst/DF | 0.269 ns CNT2_e3_e3_e3_20__i4.ff_inst/DF | 0.291 ns CNT2_e3_e3_e3_20__i6.ff_inst/DF | 0.306 ns CNT2_e3_e3_e3_20__i7.ff_inst/DF | 0.307 ns CNT2_e3_e3_e3_20__i1.ff_inst/DF | 0.307 ns MyDCC/CE | 1.797 ns CNT2_d_i1.ff_inst/DF | 35.236 ns CNT2_d_i2.ff_inst/DF | 35.245 ns CNT2_d_i8.ff_inst/DF | 35.245 ns CNT2_d_i6.ff_inst/DF | 35.250 ns CNT2_d_i4.ff_inst/DF | 35.287 ns CNT2_d_i3.ff_inst/DF | 35.298 ns CNT2_d_i5.ff_inst/DF | 35.298 ns CNT2_d_i7.ff_inst/DF | 35.298 ns ------------------------------------------------------- | Hold # of endpoints with negative slack: | 0 | ------------------------------------------------------- 4.2 Detailed Report XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX Detail report of critical paths XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX +++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Detailed Report for timing paths +++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ ++++Path 1 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Path Begin : CNT1_e3_e3_e3_21__i1.ff_inst/Q (SLICE_R9C2D) Path End : CNT1_e3_e3_e3_21__i1.ff_inst/DF (SLICE_R9C2D) Source Clock : CLK1 (R) Destination Clock: CLK1 (R) Logic Level : 2 Delay Ratio : 18.6% (route), 81.4% (logic) Clock Skew : 0.029 ns Hold Constraint : 0.000 ns Common Path Skew : -0.028 ns Path Slack : 0.240 ns (Passed) Source Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT1_e3_e3_e3_21__i1.ff_inst/CLK", "phy_name":"SLICE_5/CLK" }, "path_sections":[ { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":0.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":1.000, "delay":1.000 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":1.976, "delay":0.976 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":1.976, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- ------ --------------------- ------ Clk top CLOCK LATENCY 0.000 0.000 1 Clk NET DELAY 0.000 0.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.000 1.000 3 Clk_c NET DELAY 0.976 1.976 3 CNT1_e3_e3_e3_21__i1.ff_inst/CLK CLOCK PIN 0.000 1.976 1 Data Path { "path_begin": { "type":"pin", "log_name":"CNT1_e3_e3_e3_21__i1.ff_inst/Q", "phy_name":"SLICE_5/Q0" }, "path_end": { "type":"pin", "log_name":"CNT1_e3_e3_e3_21__i1.ff_inst/DF", "phy_name":"SLICE_5/DI0" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"CNT1_e3_e3_e3_21__i1.ff_inst/CLK", "phy_name":"SLICE_5/CLK" }, "pin1": { "log_name":"CNT1_e3_e3_e3_21__i1.ff_inst/Q", "phy_name":"SLICE_5/Q0" }, "arrive":2.149, "delay":0.173 }, { "type":"net_delay", "net": { "log_name":"CNT1[1]", "phy_name":"CNT1[1]" }, "arrive":2.215, "delay":0.066 }, { "type":"site_delay", "pin0": { "log_name":"i36_2_lut/A", "phy_name":"SLICE_5/B0" }, "pin1": { "log_name":"i36_2_lut/Z", "phy_name":"SLICE_5/F0" }, "arrive":2.331, "delay":0.116 }, { "type":"net_delay", "net": { "log_name":"n14", "phy_name":"n14" }, "arrive":2.331, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.331, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- ------ --------------------- ------ CNT1_e3_e3_e3_21__i1.ff_inst/CLK->CNT1_e3_e3_e3_21__i1.ff_inst/Q SLICE_R9C2D REG_DEL 0.173 2.149 2 CNT1[1] NET DELAY 0.066 2.215 2 i36_2_lut/A->i36_2_lut/Z SLICE_R9C2D CTOF_DEL 0.116 2.331 1 n14 NET DELAY 0.000 2.331 1 CNT1_e3_e3_e3_21__i1.ff_inst/DF ENDPOINT 0.000 2.331 1 Destination Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT1_e3_e3_e3_21__i1.ff_inst/CLK", "phy_name":"SLICE_5/CLK" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":0.000, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":0.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":1.000, "delay":1.000 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":2.005, "delay":1.005 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.005, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- ------ --------------------- ------ CONSTRAINT 0.000 0.000 1 Clk top CLOCK LATENCY 0.000 0.000 1 Clk NET DELAY 0.000 0.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.000 1.000 3 Clk_c NET DELAY 1.005 2.005 3 CNT1_e3_e3_e3_21__i1.ff_inst/CLK CLOCK PIN 0.000 2.005 1 Uncertainty 0.000 2.005 Common Path Skew -0.028 1.977 Hold time 0.114 2.091 ---------------------------------------- -------------- ---------------- ------ --------------------- ------ Required Time -2.091 Arrival Time 2.331 ---------------------------------------- -------------- ---------------- ------ --------------------- ------ Path Slack (Passed) 0.240 ++++ Path 2 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Path Begin : CNT2_e3_e3_e3_20__i5.ff_inst/Q (SLICE_R3C67D) Path End : CNT2_e3_e3_e3_20__i5.ff_inst/DF (SLICE_R3C67D) Source Clock : CLK2 (R) Destination Clock: CLK2 (R) Logic Level : 2 Delay Ratio : 18.6% (route), 81.4% (logic) Clock Skew : 0.028 ns Hold Constraint : 0.000 ns Common Path Skew : -0.028 ns Path Slack : 0.241 ns (Passed) Source Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i5.ff_inst/CLK", "phy_name":"SLICE_3/CLK" }, "path_sections":[ { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":0.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":1.000, "delay":1.000 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":1.334, "delay":0.334 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":1.334, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":1.976, "delay":0.642 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":1.976, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- ------ --------------------- ------ Clk top CLOCK LATENCY 0.000 0.000 1 Clk NET DELAY 0.000 0.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.000 1.000 3 Clk_c NET DELAY 0.334 1.334 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 1.334 13 clk2 NET DELAY 0.642 1.976 13 {CNT2_e3_e3_e3_20__i5.ff_inst/CLK CNT2_e3_e3_e3_20__i6.ff_inst/CLK} CLOCK PIN 0.000 1.976 1 Data Path { "path_begin": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i5.ff_inst/Q", "phy_name":"SLICE_3/Q0" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i5.ff_inst/DF", "phy_name":"SLICE_3/DI0" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20__i5.ff_inst/CLK", "phy_name":"SLICE_3/CLK" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20__i5.ff_inst/Q", "phy_name":"SLICE_3/Q0" }, "arrive":2.149, "delay":0.173 }, { "type":"net_delay", "net": { "log_name":"CNT2[5]", "phy_name":"CNT2[5]" }, "arrive":2.215, "delay":0.066 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_7/B0", "phy_name":"SLICE_3/B0" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_7/S0", "phy_name":"SLICE_3/F0" }, "arrive":2.331, "delay":0.116 }, { "type":"net_delay", "net": { "log_name":"CNT2_7__N_3[5]", "phy_name":"CNT2_7__N_3[5]" }, "arrive":2.331, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.331, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- ------ --------------------- ------ CNT2_e3_e3_e3_20__i5.ff_inst/CLK->CNT2_e3_e3_e3_20__i5.ff_inst/Q SLICE_R3C67D REG_DEL 0.173 2.149 2 CNT2[5] NET DELAY 0.066 2.215 2 CNT2_e3_e3_e3_20_add_4_7/B0->CNT2_e3_e3_e3_20_add_4_7/S0 SLICE_R3C67D CTOF_DEL 0.116 2.331 1 CNT2_7__N_3[5] NET DELAY 0.000 2.331 1 CNT2_e3_e3_e3_20__i5.ff_inst/DF ENDPOINT 0.000 2.331 1 Destination Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i5.ff_inst/CLK", "phy_name":"SLICE_3/CLK" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":0.000, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":0.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":1.000, "delay":1.000 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":1.340, "delay":0.340 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":1.340, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":2.004, "delay":0.664 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.004, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- ------ --------------------- ------ CONSTRAINT 0.000 0.000 1 Clk top CLOCK LATENCY 0.000 0.000 1 Clk NET DELAY 0.000 0.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.000 1.000 3 Clk_c NET DELAY 0.340 1.340 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 1.340 13 clk2 NET DELAY 0.664 2.004 13 {CNT2_e3_e3_e3_20__i5.ff_inst/CLK CNT2_e3_e3_e3_20__i6.ff_inst/CLK} CLOCK PIN 0.000 2.004 1 Uncertainty 0.000 2.004 Common Path Skew -0.028 1.976 Hold time 0.114 2.090 ---------------------------------------- -------------- ---------------- ------ --------------------- ------ Required Time -2.090 Arrival Time 2.331 ---------------------------------------- -------------- ---------------- ------ --------------------- ------ Path Slack (Passed) 0.241 ++++ Path 3 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Path Begin : CNT2_e3_e3_e3_20__i3.ff_inst/Q (SLICE_R3C67C) Path End : CNT2_e3_e3_e3_20__i3.ff_inst/DF (SLICE_R3C67C) Source Clock : CLK2 (R) Destination Clock: CLK2 (R) Logic Level : 2 Delay Ratio : 18.6% (route), 81.4% (logic) Clock Skew : 0.028 ns Hold Constraint : 0.000 ns Common Path Skew : -0.028 ns Path Slack : 0.241 ns (Passed) Source Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i3.ff_inst/CLK", "phy_name":"SLICE_0/CLK" }, "path_sections":[ { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":0.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":1.000, "delay":1.000 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":1.334, "delay":0.334 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":1.334, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":1.976, "delay":0.642 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":1.976, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- ------ --------------------- ------ Clk top CLOCK LATENCY 0.000 0.000 1 Clk NET DELAY 0.000 0.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.000 1.000 3 Clk_c NET DELAY 0.334 1.334 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 1.334 13 clk2 NET DELAY 0.642 1.976 13 {CNT2_e3_e3_e3_20__i3.ff_inst/CLK CNT2_e3_e3_e3_20__i4.ff_inst/CLK} CLOCK PIN 0.000 1.976 1 Data Path { "path_begin": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i3.ff_inst/Q", "phy_name":"SLICE_0/Q0" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i3.ff_inst/DF", "phy_name":"SLICE_0/DI0" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20__i3.ff_inst/CLK", "phy_name":"SLICE_0/CLK" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20__i3.ff_inst/Q", "phy_name":"SLICE_0/Q0" }, "arrive":2.149, "delay":0.173 }, { "type":"net_delay", "net": { "log_name":"CNT2[3]", "phy_name":"CNT2[3]" }, "arrive":2.215, "delay":0.066 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_5/B0", "phy_name":"SLICE_0/B0" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_5/S0", "phy_name":"SLICE_0/F0" }, "arrive":2.331, "delay":0.116 }, { "type":"net_delay", "net": { "log_name":"CNT2_7__N_3[3]", "phy_name":"CNT2_7__N_3[3]" }, "arrive":2.331, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.331, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- ------ --------------------- ------ CNT2_e3_e3_e3_20__i3.ff_inst/CLK->CNT2_e3_e3_e3_20__i3.ff_inst/Q SLICE_R3C67C REG_DEL 0.173 2.149 2 CNT2[3] NET DELAY 0.066 2.215 2 CNT2_e3_e3_e3_20_add_4_5/B0->CNT2_e3_e3_e3_20_add_4_5/S0 SLICE_R3C67C CTOF_DEL 0.116 2.331 1 CNT2_7__N_3[3] NET DELAY 0.000 2.331 1 CNT2_e3_e3_e3_20__i3.ff_inst/DF ENDPOINT 0.000 2.331 1 Destination Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i3.ff_inst/CLK", "phy_name":"SLICE_0/CLK" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":0.000, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":0.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":1.000, "delay":1.000 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":1.340, "delay":0.340 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":1.340, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":2.004, "delay":0.664 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.004, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- ------ --------------------- ------ CONSTRAINT 0.000 0.000 1 Clk top CLOCK LATENCY 0.000 0.000 1 Clk NET DELAY 0.000 0.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.000 1.000 3 Clk_c NET DELAY 0.340 1.340 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 1.340 13 clk2 NET DELAY 0.664 2.004 13 {CNT2_e3_e3_e3_20__i3.ff_inst/CLK CNT2_e3_e3_e3_20__i4.ff_inst/CLK} CLOCK PIN 0.000 2.004 1 Uncertainty 0.000 2.004 Common Path Skew -0.028 1.976 Hold time 0.114 2.090 ---------------------------------------- -------------- ---------------- ------ --------------------- ------ Required Time -2.090 Arrival Time 2.331 ---------------------------------------- -------------- ---------------- ------ --------------------- ------ Path Slack (Passed) 0.241 ++++ Path 4 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Path Begin : CNT2_e3_e3_e3_20__i2.ff_inst/Q (SLICE_R3C67B) Path End : CNT2_e3_e3_e3_20__i2.ff_inst/DF (SLICE_R3C67B) Source Clock : CLK2 (R) Destination Clock: CLK2 (R) Logic Level : 2 Delay Ratio : 18.5% (route), 81.5% (logic) Clock Skew : 0.028 ns Hold Constraint : 0.000 ns Common Path Skew : -0.028 ns Path Slack : 0.242 ns (Passed) Source Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i1.ff_inst/CLK", "phy_name":"SLICE_2/CLK" }, "path_sections":[ { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":0.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":1.000, "delay":1.000 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":1.334, "delay":0.334 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":1.334, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":1.976, "delay":0.642 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":1.976, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- ------ --------------------- ------ Clk top CLOCK LATENCY 0.000 0.000 1 Clk NET DELAY 0.000 0.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.000 1.000 3 Clk_c NET DELAY 0.334 1.334 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 1.334 13 clk2 NET DELAY 0.642 1.976 13 {CNT2_e3_e3_e3_20__i1.ff_inst/CLK CNT2_e3_e3_e3_20__i2.ff_inst/CLK} CLOCK PIN 0.000 1.976 1 Data Path { "path_begin": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i2.ff_inst/Q", "phy_name":"SLICE_2/Q1" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i2.ff_inst/DF", "phy_name":"SLICE_2/DI1" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20__i2.ff_inst/CLK", "phy_name":"SLICE_2/CLK" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20__i2.ff_inst/Q", "phy_name":"SLICE_2/Q1" }, "arrive":2.150, "delay":0.174 }, { "type":"net_delay", "net": { "log_name":"CNT2[2]", "phy_name":"CNT2[2]" }, "arrive":2.216, "delay":0.066 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_3/B1", "phy_name":"SLICE_2/B1" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_3/S1", "phy_name":"SLICE_2/F1" }, "arrive":2.332, "delay":0.116 }, { "type":"net_delay", "net": { "log_name":"CNT2_7__N_3[2]", "phy_name":"CNT2_7__N_3[2]" }, "arrive":2.332, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.332, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- ------ --------------------- ------ CNT2_e3_e3_e3_20__i2.ff_inst/CLK->CNT2_e3_e3_e3_20__i2.ff_inst/Q SLICE_R3C67B REG_DEL 0.174 2.150 2 CNT2[2] NET DELAY 0.066 2.216 2 CNT2_e3_e3_e3_20_add_4_3/B1->CNT2_e3_e3_e3_20_add_4_3/S1 SLICE_R3C67B CTOF_DEL 0.116 2.332 1 CNT2_7__N_3[2] NET DELAY 0.000 2.332 1 CNT2_e3_e3_e3_20__i2.ff_inst/DF ENDPOINT 0.000 2.332 1 Destination Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i1.ff_inst/CLK", "phy_name":"SLICE_2/CLK" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":0.000, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":0.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":1.000, "delay":1.000 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":1.340, "delay":0.340 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":1.340, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":2.004, "delay":0.664 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.004, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- ------ --------------------- ------ CONSTRAINT 0.000 0.000 1 Clk top CLOCK LATENCY 0.000 0.000 1 Clk NET DELAY 0.000 0.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.000 1.000 3 Clk_c NET DELAY 0.340 1.340 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 1.340 13 clk2 NET DELAY 0.664 2.004 13 {CNT2_e3_e3_e3_20__i1.ff_inst/CLK CNT2_e3_e3_e3_20__i2.ff_inst/CLK} CLOCK PIN 0.000 2.004 1 Uncertainty 0.000 2.004 Common Path Skew -0.028 1.976 Hold time 0.114 2.090 ---------------------------------------- -------------- ---------------- ------ --------------------- ------ Required Time -2.090 Arrival Time 2.332 ---------------------------------------- -------------- ---------------- ------ --------------------- ------ Path Slack (Passed) 0.242 ++++ Path 5 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Path Begin : CNT2_e3_e3_e3_20__i0.ff_inst/Q (SLICE_R3C67A) Path End : CNT2_e3_e3_e3_20__i0.ff_inst/DF (SLICE_R3C67A) Source Clock : CLK2 (R) Destination Clock: CLK2 (R) Logic Level : 2 Delay Ratio : 18.5% (route), 81.5% (logic) Clock Skew : 0.028 ns Hold Constraint : 0.000 ns Common Path Skew : -0.028 ns Path Slack : 0.242 ns (Passed) Source Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i0.ff_inst/CLK", "phy_name":"SLICE_1/CLK" }, "path_sections":[ { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":0.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":1.000, "delay":1.000 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":1.334, "delay":0.334 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":1.334, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":1.976, "delay":0.642 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":1.976, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- ------ --------------------- ------ Clk top CLOCK LATENCY 0.000 0.000 1 Clk NET DELAY 0.000 0.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.000 1.000 3 Clk_c NET DELAY 0.334 1.334 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 1.334 13 clk2 NET DELAY 0.642 1.976 13 CNT2_e3_e3_e3_20__i0.ff_inst/CLK CLOCK PIN 0.000 1.976 1 Data Path { "path_begin": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i0.ff_inst/Q", "phy_name":"SLICE_1/Q1" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i0.ff_inst/DF", "phy_name":"SLICE_1/DI1" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20__i0.ff_inst/CLK", "phy_name":"SLICE_1/CLK" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20__i0.ff_inst/Q", "phy_name":"SLICE_1/Q1" }, "arrive":2.150, "delay":0.174 }, { "type":"net_delay", "net": { "log_name":"CNT2[0]", "phy_name":"CNT2[0]" }, "arrive":2.216, "delay":0.066 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_cin/B1", "phy_name":"SLICE_1/B1" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_cin/S1", "phy_name":"SLICE_1/F1" }, "arrive":2.332, "delay":0.116 }, { "type":"net_delay", "net": { "log_name":"CNT2_7__N_3[0]", "phy_name":"CNT2_7__N_3[0]" }, "arrive":2.332, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.332, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- ------ --------------------- ------ CNT2_e3_e3_e3_20__i0.ff_inst/CLK->CNT2_e3_e3_e3_20__i0.ff_inst/Q SLICE_R3C67A REG_DEL 0.174 2.150 2 CNT2[0] NET DELAY 0.066 2.216 2 CNT2_e3_e3_e3_20_add_4_cin/B1->CNT2_e3_e3_e3_20_add_4_cin/S1 SLICE_R3C67A CTOF_DEL 0.116 2.332 1 CNT2_7__N_3[0] NET DELAY 0.000 2.332 1 CNT2_e3_e3_e3_20__i0.ff_inst/DF ENDPOINT 0.000 2.332 1 Destination Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i0.ff_inst/CLK", "phy_name":"SLICE_1/CLK" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":0.000, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":0.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":1.000, "delay":1.000 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":1.340, "delay":0.340 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":1.340, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":2.004, "delay":0.664 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.004, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- ------ --------------------- ------ CONSTRAINT 0.000 0.000 1 Clk top CLOCK LATENCY 0.000 0.000 1 Clk NET DELAY 0.000 0.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.000 1.000 3 Clk_c NET DELAY 0.340 1.340 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 1.340 13 clk2 NET DELAY 0.664 2.004 13 CNT2_e3_e3_e3_20__i0.ff_inst/CLK CLOCK PIN 0.000 2.004 1 Uncertainty 0.000 2.004 Common Path Skew -0.028 1.976 Hold time 0.114 2.090 ---------------------------------------- -------------- ---------------- ------ --------------------- ------ Required Time -2.090 Arrival Time 2.332 ---------------------------------------- -------------- ---------------- ------ --------------------- ------ Path Slack (Passed) 0.242 ++++ Path 6 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Path Begin : CNT1_e3_e3_e3_21__i0.ff_inst/Q (SLICE_R9C2C) Path End : CNT1_e3_e3_e3_21__i1.ff_inst/DF (SLICE_R9C2D) Source Clock : CLK1 (R) Destination Clock: CLK1 (R) Logic Level : 2 Delay Ratio : 23.4% (route), 76.6% (logic) Clock Skew : 0.029 ns Hold Constraint : 0.000 ns Common Path Skew : -0.028 ns Path Slack : 0.269 ns (Passed) Source Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT1_e3_e3_e3_21__i0.ff_inst/CLK", "phy_name":"SLICE_8/CLK" }, "path_sections":[ { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":0.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":1.000, "delay":1.000 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":1.976, "delay":0.976 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":1.976, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- ------ --------------------- ------ Clk top CLOCK LATENCY 0.000 0.000 1 Clk NET DELAY 0.000 0.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.000 1.000 3 Clk_c NET DELAY 0.976 1.976 3 CNT1_e3_e3_e3_21__i0.ff_inst/CLK CLOCK PIN 0.000 1.976 1 Data Path { "path_begin": { "type":"pin", "log_name":"CNT1_e3_e3_e3_21__i0.ff_inst/Q", "phy_name":"SLICE_8/Q0" }, "path_end": { "type":"pin", "log_name":"CNT1_e3_e3_e3_21__i1.ff_inst/DF", "phy_name":"SLICE_5/DI0" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"CNT1_e3_e3_e3_21__i0.ff_inst/CLK", "phy_name":"SLICE_8/CLK" }, "pin1": { "log_name":"CNT1_e3_e3_e3_21__i0.ff_inst/Q", "phy_name":"SLICE_8/Q0" }, "arrive":2.154, "delay":0.178 }, { "type":"net_delay", "net": { "log_name":"CNT1[0]", "phy_name":"CNT1[0]" }, "arrive":2.244, "delay":0.090 }, { "type":"site_delay", "pin0": { "log_name":"i36_2_lut/B", "phy_name":"SLICE_5/D0" }, "pin1": { "log_name":"i36_2_lut/Z", "phy_name":"SLICE_5/F0" }, "arrive":2.360, "delay":0.116 }, { "type":"net_delay", "net": { "log_name":"n14", "phy_name":"n14" }, "arrive":2.360, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.360, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- ------ --------------------- ------ CNT1_e3_e3_e3_21__i0.ff_inst/CLK->CNT1_e3_e3_e3_21__i0.ff_inst/Q SLICE_R9C2C REG_DEL 0.178 2.154 3 CNT1[0] NET DELAY 0.090 2.244 3 i36_2_lut/B->i36_2_lut/Z SLICE_R9C2D CTOF_DEL 0.116 2.360 1 n14 NET DELAY 0.000 2.360 1 CNT1_e3_e3_e3_21__i1.ff_inst/DF ENDPOINT 0.000 2.360 1 Destination Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT1_e3_e3_e3_21__i1.ff_inst/CLK", "phy_name":"SLICE_5/CLK" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":0.000, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":0.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":1.000, "delay":1.000 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":2.005, "delay":1.005 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.005, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- ------ --------------------- ------ CONSTRAINT 0.000 0.000 1 Clk top CLOCK LATENCY 0.000 0.000 1 Clk NET DELAY 0.000 0.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.000 1.000 3 Clk_c NET DELAY 1.005 2.005 3 CNT1_e3_e3_e3_21__i1.ff_inst/CLK CLOCK PIN 0.000 2.005 1 Uncertainty 0.000 2.005 Common Path Skew -0.028 1.977 Hold time 0.114 2.091 ---------------------------------------- -------------- ---------------- ------ --------------------- ------ Required Time -2.091 Arrival Time 2.360 ---------------------------------------- -------------- ---------------- ------ --------------------- ------ Path Slack (Passed) 0.269 ++++ Path 7 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Path Begin : CNT1_e3_e3_e3_21__i0.ff_inst/Q (SLICE_R9C2C) Path End : CNT1_e3_e3_e3_21__i0.ff_inst/DF (SLICE_R9C2C) Source Clock : CLK1 (R) Destination Clock: CLK1 (R) Logic Level : 2 Delay Ratio : 23.4% (route), 76.6% (logic) Clock Skew : 0.029 ns Hold Constraint : 0.000 ns Common Path Skew : -0.028 ns Path Slack : 0.269 ns (Passed) Source Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT1_e3_e3_e3_21__i0.ff_inst/CLK", "phy_name":"SLICE_8/CLK" }, "path_sections":[ { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":0.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":1.000, "delay":1.000 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":1.976, "delay":0.976 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":1.976, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- ------ --------------------- ------ Clk top CLOCK LATENCY 0.000 0.000 1 Clk NET DELAY 0.000 0.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.000 1.000 3 Clk_c NET DELAY 0.976 1.976 3 CNT1_e3_e3_e3_21__i0.ff_inst/CLK CLOCK PIN 0.000 1.976 1 Data Path { "path_begin": { "type":"pin", "log_name":"CNT1_e3_e3_e3_21__i0.ff_inst/Q", "phy_name":"SLICE_8/Q0" }, "path_end": { "type":"pin", "log_name":"CNT1_e3_e3_e3_21__i0.ff_inst/DF", "phy_name":"SLICE_8/DI0" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"CNT1_e3_e3_e3_21__i0.ff_inst/CLK", "phy_name":"SLICE_8/CLK" }, "pin1": { "log_name":"CNT1_e3_e3_e3_21__i0.ff_inst/Q", "phy_name":"SLICE_8/Q0" }, "arrive":2.154, "delay":0.178 }, { "type":"net_delay", "net": { "log_name":"CNT1[0]", "phy_name":"CNT1[0]" }, "arrive":2.244, "delay":0.090 }, { "type":"site_delay", "pin0": { "log_name":"i34_1_lut/A", "phy_name":"SLICE_8/D0" }, "pin1": { "log_name":"i34_1_lut/Z", "phy_name":"SLICE_8/F0" }, "arrive":2.360, "delay":0.116 }, { "type":"net_delay", "net": { "log_name":"n15", "phy_name":"n15" }, "arrive":2.360, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.360, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- ------ --------------------- ------ CNT1_e3_e3_e3_21__i0.ff_inst/CLK->CNT1_e3_e3_e3_21__i0.ff_inst/Q SLICE_R9C2C REG_DEL 0.178 2.154 3 CNT1[0] NET DELAY 0.090 2.244 3 i34_1_lut/A->i34_1_lut/Z SLICE_R9C2C CTOF_DEL 0.116 2.360 1 n15 NET DELAY 0.000 2.360 1 CNT1_e3_e3_e3_21__i0.ff_inst/DF ENDPOINT 0.000 2.360 1 Destination Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT1_e3_e3_e3_21__i0.ff_inst/CLK", "phy_name":"SLICE_8/CLK" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":0.000, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":0.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":1.000, "delay":1.000 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":2.005, "delay":1.005 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.005, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- ------ --------------------- ------ CONSTRAINT 0.000 0.000 1 Clk top CLOCK LATENCY 0.000 0.000 1 Clk NET DELAY 0.000 0.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.000 1.000 3 Clk_c NET DELAY 1.005 2.005 3 CNT1_e3_e3_e3_21__i0.ff_inst/CLK CLOCK PIN 0.000 2.005 1 Uncertainty 0.000 2.005 Common Path Skew -0.028 1.977 Hold time 0.114 2.091 ---------------------------------------- -------------- ---------------- ------ --------------------- ------ Required Time -2.091 Arrival Time 2.360 ---------------------------------------- -------------- ---------------- ------ --------------------- ------ Path Slack (Passed) 0.269 ++++ Path 8 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Path Begin : CNT2_e3_e3_e3_20__i4.ff_inst/Q (SLICE_R3C67C) Path End : CNT2_e3_e3_e3_20__i4.ff_inst/DF (SLICE_R3C67C) Source Clock : CLK2 (R) Destination Clock: CLK2 (R) Logic Level : 2 Delay Ratio : 28.4% (route), 71.6% (logic) Clock Skew : 0.028 ns Hold Constraint : 0.000 ns Common Path Skew : -0.028 ns Path Slack : 0.291 ns (Passed) Source Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i3.ff_inst/CLK", "phy_name":"SLICE_0/CLK" }, "path_sections":[ { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":0.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":1.000, "delay":1.000 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":1.334, "delay":0.334 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":1.334, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":1.976, "delay":0.642 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":1.976, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- ------ --------------------- ------ Clk top CLOCK LATENCY 0.000 0.000 1 Clk NET DELAY 0.000 0.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.000 1.000 3 Clk_c NET DELAY 0.334 1.334 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 1.334 13 clk2 NET DELAY 0.642 1.976 13 {CNT2_e3_e3_e3_20__i3.ff_inst/CLK CNT2_e3_e3_e3_20__i4.ff_inst/CLK} CLOCK PIN 0.000 1.976 1 Data Path { "path_begin": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i4.ff_inst/Q", "phy_name":"SLICE_0/Q1" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i4.ff_inst/DF", "phy_name":"SLICE_0/DI1" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20__i4.ff_inst/CLK", "phy_name":"SLICE_0/CLK" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20__i4.ff_inst/Q", "phy_name":"SLICE_0/Q1" }, "arrive":2.150, "delay":0.174 }, { "type":"net_delay", "net": { "log_name":"CNT2[4]", "phy_name":"CNT2[4]" }, "arrive":2.265, "delay":0.115 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_5/B1", "phy_name":"SLICE_0/B1" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_5/S1", "phy_name":"SLICE_0/F1" }, "arrive":2.381, "delay":0.116 }, { "type":"net_delay", "net": { "log_name":"CNT2_7__N_3[4]", "phy_name":"CNT2_7__N_3[4]" }, "arrive":2.381, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.381, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- ------ --------------------- ------ CNT2_e3_e3_e3_20__i4.ff_inst/CLK->CNT2_e3_e3_e3_20__i4.ff_inst/Q SLICE_R3C67C REG_DEL 0.174 2.150 2 CNT2[4] NET DELAY 0.115 2.265 2 CNT2_e3_e3_e3_20_add_4_5/B1->CNT2_e3_e3_e3_20_add_4_5/S1 SLICE_R3C67C CTOF_DEL 0.116 2.381 1 CNT2_7__N_3[4] NET DELAY 0.000 2.381 1 CNT2_e3_e3_e3_20__i4.ff_inst/DF ENDPOINT 0.000 2.381 1 Destination Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i3.ff_inst/CLK", "phy_name":"SLICE_0/CLK" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":0.000, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":0.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":1.000, "delay":1.000 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":1.340, "delay":0.340 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":1.340, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":2.004, "delay":0.664 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.004, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- ------ --------------------- ------ CONSTRAINT 0.000 0.000 1 Clk top CLOCK LATENCY 0.000 0.000 1 Clk NET DELAY 0.000 0.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.000 1.000 3 Clk_c NET DELAY 0.340 1.340 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 1.340 13 clk2 NET DELAY 0.664 2.004 13 {CNT2_e3_e3_e3_20__i3.ff_inst/CLK CNT2_e3_e3_e3_20__i4.ff_inst/CLK} CLOCK PIN 0.000 2.004 1 Uncertainty 0.000 2.004 Common Path Skew -0.028 1.976 Hold time 0.114 2.090 ---------------------------------------- -------------- ---------------- ------ --------------------- ------ Required Time -2.090 Arrival Time 2.381 ---------------------------------------- -------------- ---------------- ------ --------------------- ------ Path Slack (Passed) 0.291 ++++ Path 9 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Path Begin : CNT2_e3_e3_e3_20__i6.ff_inst/Q (SLICE_R3C67D) Path End : CNT2_e3_e3_e3_20__i6.ff_inst/DF (SLICE_R3C67D) Source Clock : CLK2 (R) Destination Clock: CLK2 (R) Logic Level : 2 Delay Ratio : 31.0% (route), 69.0% (logic) Clock Skew : 0.028 ns Hold Constraint : 0.000 ns Common Path Skew : -0.028 ns Path Slack : 0.306 ns (Passed) Source Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i5.ff_inst/CLK", "phy_name":"SLICE_3/CLK" }, "path_sections":[ { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":0.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":1.000, "delay":1.000 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":1.334, "delay":0.334 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":1.334, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":1.976, "delay":0.642 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":1.976, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- ------ --------------------- ------ Clk top CLOCK LATENCY 0.000 0.000 1 Clk NET DELAY 0.000 0.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.000 1.000 3 Clk_c NET DELAY 0.334 1.334 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 1.334 13 clk2 NET DELAY 0.642 1.976 13 {CNT2_e3_e3_e3_20__i5.ff_inst/CLK CNT2_e3_e3_e3_20__i6.ff_inst/CLK} CLOCK PIN 0.000 1.976 1 Data Path { "path_begin": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i6.ff_inst/Q", "phy_name":"SLICE_3/Q1" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i6.ff_inst/DF", "phy_name":"SLICE_3/DI1" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20__i6.ff_inst/CLK", "phy_name":"SLICE_3/CLK" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20__i6.ff_inst/Q", "phy_name":"SLICE_3/Q1" }, "arrive":2.150, "delay":0.174 }, { "type":"net_delay", "net": { "log_name":"CNT2[6]", "phy_name":"CNT2[6]" }, "arrive":2.280, "delay":0.130 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_7/B1", "phy_name":"SLICE_3/B1" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_7/S1", "phy_name":"SLICE_3/F1" }, "arrive":2.396, "delay":0.116 }, { "type":"net_delay", "net": { "log_name":"CNT2_7__N_3[6]", "phy_name":"CNT2_7__N_3[6]" }, "arrive":2.396, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.396, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- ------ --------------------- ------ CNT2_e3_e3_e3_20__i6.ff_inst/CLK->CNT2_e3_e3_e3_20__i6.ff_inst/Q SLICE_R3C67D REG_DEL 0.174 2.150 2 CNT2[6] NET DELAY 0.130 2.280 2 CNT2_e3_e3_e3_20_add_4_7/B1->CNT2_e3_e3_e3_20_add_4_7/S1 SLICE_R3C67D CTOF_DEL 0.116 2.396 1 CNT2_7__N_3[6] NET DELAY 0.000 2.396 1 CNT2_e3_e3_e3_20__i6.ff_inst/DF ENDPOINT 0.000 2.396 1 Destination Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i5.ff_inst/CLK", "phy_name":"SLICE_3/CLK" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":0.000, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":0.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":1.000, "delay":1.000 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":1.340, "delay":0.340 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":1.340, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":2.004, "delay":0.664 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.004, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- ------ --------------------- ------ CONSTRAINT 0.000 0.000 1 Clk top CLOCK LATENCY 0.000 0.000 1 Clk NET DELAY 0.000 0.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.000 1.000 3 Clk_c NET DELAY 0.340 1.340 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 1.340 13 clk2 NET DELAY 0.664 2.004 13 {CNT2_e3_e3_e3_20__i5.ff_inst/CLK CNT2_e3_e3_e3_20__i6.ff_inst/CLK} CLOCK PIN 0.000 2.004 1 Uncertainty 0.000 2.004 Common Path Skew -0.028 1.976 Hold time 0.114 2.090 ---------------------------------------- -------------- ---------------- ------ --------------------- ------ Required Time -2.090 Arrival Time 2.396 ---------------------------------------- -------------- ---------------- ------ --------------------- ------ Path Slack (Passed) 0.306 ++++ Path 10 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Path Begin : CNT2_e3_e3_e3_20__i7.ff_inst/Q (SLICE_R3C68A) Path End : CNT2_e3_e3_e3_20__i7.ff_inst/DF (SLICE_R3C68A) Source Clock : CLK2 (R) Destination Clock: CLK2 (R) Logic Level : 2 Delay Ratio : 31.4% (route), 68.6% (logic) Clock Skew : 0.028 ns Hold Constraint : 0.000 ns Common Path Skew : -0.028 ns Path Slack : 0.307 ns (Passed) Source Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i7.ff_inst/CLK", "phy_name":"SLICE_4/CLK" }, "path_sections":[ { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":0.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":1.000, "delay":1.000 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":1.334, "delay":0.334 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":1.334, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":1.976, "delay":0.642 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":1.976, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- ------ --------------------- ------ Clk top CLOCK LATENCY 0.000 0.000 1 Clk NET DELAY 0.000 0.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.000 1.000 3 Clk_c NET DELAY 0.334 1.334 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 1.334 13 clk2 NET DELAY 0.642 1.976 13 CNT2_e3_e3_e3_20__i7.ff_inst/CLK CLOCK PIN 0.000 1.976 1 Data Path { "path_begin": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i7.ff_inst/Q", "phy_name":"SLICE_4/Q0" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i7.ff_inst/DF", "phy_name":"SLICE_4/DI0" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20__i7.ff_inst/CLK", "phy_name":"SLICE_4/CLK" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20__i7.ff_inst/Q", "phy_name":"SLICE_4/Q0" }, "arrive":2.149, "delay":0.173 }, { "type":"net_delay", "net": { "log_name":"CNT2[7]", "phy_name":"CNT2[7]" }, "arrive":2.281, "delay":0.132 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_9/B0", "phy_name":"SLICE_4/B0" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_9/S0", "phy_name":"SLICE_4/F0" }, "arrive":2.397, "delay":0.116 }, { "type":"net_delay", "net": { "log_name":"CNT2_7__N_3[7]", "phy_name":"CNT2_7__N_3[7]" }, "arrive":2.397, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.397, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- ------ --------------------- ------ CNT2_e3_e3_e3_20__i7.ff_inst/CLK->CNT2_e3_e3_e3_20__i7.ff_inst/Q SLICE_R3C68A REG_DEL 0.173 2.149 2 CNT2[7] NET DELAY 0.132 2.281 2 CNT2_e3_e3_e3_20_add_4_9/B0->CNT2_e3_e3_e3_20_add_4_9/S0 SLICE_R3C68A CTOF_DEL 0.116 2.397 1 CNT2_7__N_3[7] NET DELAY 0.000 2.397 1 CNT2_e3_e3_e3_20__i7.ff_inst/DF ENDPOINT 0.000 2.397 1 Destination Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i7.ff_inst/CLK", "phy_name":"SLICE_4/CLK" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":0.000, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":0.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":1.000, "delay":1.000 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":1.340, "delay":0.340 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":1.340, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":2.004, "delay":0.664 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.004, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- ------ --------------------- ------ CONSTRAINT 0.000 0.000 1 Clk top CLOCK LATENCY 0.000 0.000 1 Clk NET DELAY 0.000 0.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.000 1.000 3 Clk_c NET DELAY 0.340 1.340 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 1.340 13 clk2 NET DELAY 0.664 2.004 13 CNT2_e3_e3_e3_20__i7.ff_inst/CLK CLOCK PIN 0.000 2.004 1 Uncertainty 0.000 2.004 Common Path Skew -0.028 1.976 Hold time 0.114 2.090 ---------------------------------------- -------------- ---------------- ------ --------------------- ------ Required Time -2.090 Arrival Time 2.397 ---------------------------------------- -------------- ---------------- ------ --------------------- ------ Path Slack (Passed) 0.307 ++++ Path 11 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Path Begin : CNT2_e3_e3_e3_20__i1.ff_inst/Q (SLICE_R3C67B) Path End : CNT2_e3_e3_e3_20__i1.ff_inst/DF (SLICE_R3C67B) Source Clock : CLK2 (R) Destination Clock: CLK2 (R) Logic Level : 2 Delay Ratio : 31.4% (route), 68.6% (logic) Clock Skew : 0.028 ns Hold Constraint : 0.000 ns Common Path Skew : -0.028 ns Path Slack : 0.307 ns (Passed) Source Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i1.ff_inst/CLK", "phy_name":"SLICE_2/CLK" }, "path_sections":[ { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":0.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":1.000, "delay":1.000 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":1.334, "delay":0.334 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":1.334, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":1.976, "delay":0.642 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":1.976, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- ------ --------------------- ------ Clk top CLOCK LATENCY 0.000 0.000 1 Clk NET DELAY 0.000 0.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.000 1.000 3 Clk_c NET DELAY 0.334 1.334 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 1.334 13 clk2 NET DELAY 0.642 1.976 13 {CNT2_e3_e3_e3_20__i1.ff_inst/CLK CNT2_e3_e3_e3_20__i2.ff_inst/CLK} CLOCK PIN 0.000 1.976 1 Data Path { "path_begin": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i1.ff_inst/Q", "phy_name":"SLICE_2/Q0" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i1.ff_inst/DF", "phy_name":"SLICE_2/DI0" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20__i1.ff_inst/CLK", "phy_name":"SLICE_2/CLK" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20__i1.ff_inst/Q", "phy_name":"SLICE_2/Q0" }, "arrive":2.149, "delay":0.173 }, { "type":"net_delay", "net": { "log_name":"CNT2[1]", "phy_name":"CNT2[1]" }, "arrive":2.281, "delay":0.132 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_3/B0", "phy_name":"SLICE_2/B0" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_3/S0", "phy_name":"SLICE_2/F0" }, "arrive":2.397, "delay":0.116 }, { "type":"net_delay", "net": { "log_name":"CNT2_7__N_3[1]", "phy_name":"CNT2_7__N_3[1]" }, "arrive":2.397, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.397, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- ------ --------------------- ------ CNT2_e3_e3_e3_20__i1.ff_inst/CLK->CNT2_e3_e3_e3_20__i1.ff_inst/Q SLICE_R3C67B REG_DEL 0.173 2.149 2 CNT2[1] NET DELAY 0.132 2.281 2 CNT2_e3_e3_e3_20_add_4_3/B0->CNT2_e3_e3_e3_20_add_4_3/S0 SLICE_R3C67B CTOF_DEL 0.116 2.397 1 CNT2_7__N_3[1] NET DELAY 0.000 2.397 1 CNT2_e3_e3_e3_20__i1.ff_inst/DF ENDPOINT 0.000 2.397 1 Destination Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i1.ff_inst/CLK", "phy_name":"SLICE_2/CLK" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":0.000, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":0.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":1.000, "delay":1.000 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":1.340, "delay":0.340 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":1.340, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":2.004, "delay":0.664 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.004, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- ------ --------------------- ------ CONSTRAINT 0.000 0.000 1 Clk top CLOCK LATENCY 0.000 0.000 1 Clk NET DELAY 0.000 0.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.000 1.000 3 Clk_c NET DELAY 0.340 1.340 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 1.340 13 clk2 NET DELAY 0.664 2.004 13 {CNT2_e3_e3_e3_20__i1.ff_inst/CLK CNT2_e3_e3_e3_20__i2.ff_inst/CLK} CLOCK PIN 0.000 2.004 1 Uncertainty 0.000 2.004 Common Path Skew -0.028 1.976 Hold time 0.114 2.090 ---------------------------------------- -------------- ---------------- ------ --------------------- ------ Required Time -2.090 Arrival Time 2.397 ---------------------------------------- -------------- ---------------- ------ --------------------- ------ Path Slack (Passed) 0.307 ++++ Path 12 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Path Begin : CNT2_e3_e3_e3_20__i5.ff_inst/Q (SLICE_R3C67D) Path End : CNT2_e3_e3_e3_20__i7.ff_inst/DF (SLICE_R3C68A) Source Clock : CLK2 (R) Destination Clock: CLK2 (R) Logic Level : 3 Delay Ratio : 13.4% (route), 86.6% (logic) Clock Skew : 0.028 ns Hold Constraint : 0.000 ns Common Path Skew : -0.026 ns Path Slack : 0.378 ns (Passed) Source Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i5.ff_inst/CLK", "phy_name":"SLICE_3/CLK" }, "path_sections":[ { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":0.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":1.000, "delay":1.000 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":1.334, "delay":0.334 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":1.334, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":1.976, "delay":0.642 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":1.976, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- ------ --------------------- ------ Clk top CLOCK LATENCY 0.000 0.000 1 Clk NET DELAY 0.000 0.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.000 1.000 3 Clk_c NET DELAY 0.334 1.334 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 1.334 13 clk2 NET DELAY 0.642 1.976 13 {CNT2_e3_e3_e3_20__i5.ff_inst/CLK CNT2_e3_e3_e3_20__i6.ff_inst/CLK} CLOCK PIN 0.000 1.976 1 Data Path { "path_begin": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i5.ff_inst/Q", "phy_name":"SLICE_3/Q0" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i7.ff_inst/DF", "phy_name":"SLICE_4/DI0" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20__i5.ff_inst/CLK", "phy_name":"SLICE_3/CLK" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20__i5.ff_inst/Q", "phy_name":"SLICE_3/Q0" }, "arrive":2.149, "delay":0.173 }, { "type":"net_delay", "net": { "log_name":"CNT2[5]", "phy_name":"CNT2[5]" }, "arrive":2.215, "delay":0.066 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_7/B0", "phy_name":"SLICE_3/B0" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_7/COUT", "phy_name":"SLICE_3/FCO" }, "arrive":2.367, "delay":0.152 }, { "type":"net_delay", "net": { "log_name":"n137", "phy_name":"n137" }, "arrive":2.367, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_9/CIN", "phy_name":"SLICE_4/FCI" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_9/S0", "phy_name":"SLICE_4/F0" }, "arrive":2.468, "delay":0.103 }, { "type":"net_delay", "net": { "log_name":"CNT2_7__N_3[7]", "phy_name":"CNT2_7__N_3[7]" }, "arrive":2.468, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.468, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- ------ --------------------- ------ CNT2_e3_e3_e3_20__i5.ff_inst/CLK->CNT2_e3_e3_e3_20__i5.ff_inst/Q SLICE_R3C67D REG_DEL 0.173 2.149 2 CNT2[5] NET DELAY 0.066 2.215 2 CNT2_e3_e3_e3_20_add_4_7/B0->CNT2_e3_e3_e3_20_add_4_7/COUT SLICE_R3C67D C0TOFCO_DEL 0.152 2.367 1 n137 NET DELAY 0.000 2.367 1 CNT2_e3_e3_e3_20_add_4_9/CIN->CNT2_e3_e3_e3_20_add_4_9/S0 SLICE_R3C68A FCITOF0_DEL 0.103 2.468 1 CNT2_7__N_3[7] NET DELAY 0.000 2.468 1 CNT2_e3_e3_e3_20__i7.ff_inst/DF ENDPOINT 0.000 2.468 1 Destination Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i7.ff_inst/CLK", "phy_name":"SLICE_4/CLK" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":0.000, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":0.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":1.000, "delay":1.000 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":1.340, "delay":0.340 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":1.340, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":2.004, "delay":0.664 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.004, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- ------ --------------------- ------ CONSTRAINT 0.000 0.000 1 Clk top CLOCK LATENCY 0.000 0.000 1 Clk NET DELAY 0.000 0.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.000 1.000 3 Clk_c NET DELAY 0.340 1.340 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 1.340 13 clk2 NET DELAY 0.664 2.004 13 CNT2_e3_e3_e3_20__i7.ff_inst/CLK CLOCK PIN 0.000 2.004 1 Uncertainty 0.000 2.004 Common Path Skew -0.026 1.978 Hold time 0.114 2.092 ---------------------------------------- -------------- ---------------- ------ --------------------- ------ Required Time -2.092 Arrival Time 2.470 ---------------------------------------- -------------- ---------------- ------ --------------------- ------ Path Slack (Passed) 0.378 ++++ Path 13 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Path Begin : CNT2_e3_e3_e3_20__i2.ff_inst/Q (SLICE_R3C67B) Path End : CNT2_e3_e3_e3_20__i3.ff_inst/DF (SLICE_R3C67C) Source Clock : CLK2 (R) Destination Clock: CLK2 (R) Logic Level : 3 Delay Ratio : 13.3% (route), 86.7% (logic) Clock Skew : 0.028 ns Hold Constraint : 0.000 ns Common Path Skew : -0.026 ns Path Slack : 0.379 ns (Passed) Source Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i1.ff_inst/CLK", "phy_name":"SLICE_2/CLK" }, "path_sections":[ { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":0.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":1.000, "delay":1.000 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":1.334, "delay":0.334 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":1.334, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":1.976, "delay":0.642 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":1.976, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- ------ --------------------- ------ Clk top CLOCK LATENCY 0.000 0.000 1 Clk NET DELAY 0.000 0.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.000 1.000 3 Clk_c NET DELAY 0.334 1.334 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 1.334 13 clk2 NET DELAY 0.642 1.976 13 {CNT2_e3_e3_e3_20__i1.ff_inst/CLK CNT2_e3_e3_e3_20__i2.ff_inst/CLK} CLOCK PIN 0.000 1.976 1 Data Path { "path_begin": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i2.ff_inst/Q", "phy_name":"SLICE_2/Q1" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i3.ff_inst/DF", "phy_name":"SLICE_0/DI0" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20__i2.ff_inst/CLK", "phy_name":"SLICE_2/CLK" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20__i2.ff_inst/Q", "phy_name":"SLICE_2/Q1" }, "arrive":2.150, "delay":0.174 }, { "type":"net_delay", "net": { "log_name":"CNT2[2]", "phy_name":"CNT2[2]" }, "arrive":2.216, "delay":0.066 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_3/B1", "phy_name":"SLICE_2/B1" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_3/COUT", "phy_name":"SLICE_2/FCO" }, "arrive":2.368, "delay":0.152 }, { "type":"net_delay", "net": { "log_name":"n135", "phy_name":"n135" }, "arrive":2.368, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_5/CIN", "phy_name":"SLICE_0/FCI" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_5/S0", "phy_name":"SLICE_0/F0" }, "arrive":2.469, "delay":0.103 }, { "type":"net_delay", "net": { "log_name":"CNT2_7__N_3[3]", "phy_name":"CNT2_7__N_3[3]" }, "arrive":2.469, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.469, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- ------ --------------------- ------ CNT2_e3_e3_e3_20__i2.ff_inst/CLK->CNT2_e3_e3_e3_20__i2.ff_inst/Q SLICE_R3C67B REG_DEL 0.174 2.150 2 CNT2[2] NET DELAY 0.066 2.216 2 CNT2_e3_e3_e3_20_add_4_3/B1->CNT2_e3_e3_e3_20_add_4_3/COUT SLICE_R3C67B C1TOFCO_DEL 0.152 2.368 1 n135 NET DELAY 0.000 2.368 1 CNT2_e3_e3_e3_20_add_4_5/CIN->CNT2_e3_e3_e3_20_add_4_5/S0 SLICE_R3C67C FCITOF0_DEL 0.103 2.469 1 CNT2_7__N_3[3] NET DELAY 0.000 2.469 1 CNT2_e3_e3_e3_20__i3.ff_inst/DF ENDPOINT 0.000 2.469 1 Destination Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i3.ff_inst/CLK", "phy_name":"SLICE_0/CLK" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":0.000, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":0.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":1.000, "delay":1.000 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":1.340, "delay":0.340 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":1.340, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":2.004, "delay":0.664 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.004, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- ------ --------------------- ------ CONSTRAINT 0.000 0.000 1 Clk top CLOCK LATENCY 0.000 0.000 1 Clk NET DELAY 0.000 0.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.000 1.000 3 Clk_c NET DELAY 0.340 1.340 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 1.340 13 clk2 NET DELAY 0.664 2.004 13 {CNT2_e3_e3_e3_20__i3.ff_inst/CLK CNT2_e3_e3_e3_20__i4.ff_inst/CLK} CLOCK PIN 0.000 2.004 1 Uncertainty 0.000 2.004 Common Path Skew -0.026 1.978 Hold time 0.114 2.092 ---------------------------------------- -------------- ---------------- ------ --------------------- ------ Required Time -2.092 Arrival Time 2.471 ---------------------------------------- -------------- ---------------- ------ --------------------- ------ Path Slack (Passed) 0.379 ++++ Path 14 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Path Begin : CNT2_e3_e3_e3_20__i3.ff_inst/Q (SLICE_R3C67C) Path End : CNT2_e3_e3_e3_20__i5.ff_inst/DF (SLICE_R3C67D) Source Clock : CLK2 (R) Destination Clock: CLK2 (R) Logic Level : 3 Delay Ratio : 13.4% (route), 86.6% (logic) Clock Skew : 0.028 ns Hold Constraint : 0.000 ns Common Path Skew : -0.028 ns Path Slack : 0.380 ns (Passed) Source Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i3.ff_inst/CLK", "phy_name":"SLICE_0/CLK" }, "path_sections":[ { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":0.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":1.000, "delay":1.000 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":1.334, "delay":0.334 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":1.334, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":1.976, "delay":0.642 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":1.976, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- ------ --------------------- ------ Clk top CLOCK LATENCY 0.000 0.000 1 Clk NET DELAY 0.000 0.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.000 1.000 3 Clk_c NET DELAY 0.334 1.334 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 1.334 13 clk2 NET DELAY 0.642 1.976 13 {CNT2_e3_e3_e3_20__i3.ff_inst/CLK CNT2_e3_e3_e3_20__i4.ff_inst/CLK} CLOCK PIN 0.000 1.976 1 Data Path { "path_begin": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i3.ff_inst/Q", "phy_name":"SLICE_0/Q0" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i5.ff_inst/DF", "phy_name":"SLICE_3/DI0" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20__i3.ff_inst/CLK", "phy_name":"SLICE_0/CLK" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20__i3.ff_inst/Q", "phy_name":"SLICE_0/Q0" }, "arrive":2.149, "delay":0.173 }, { "type":"net_delay", "net": { "log_name":"CNT2[3]", "phy_name":"CNT2[3]" }, "arrive":2.215, "delay":0.066 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_5/B0", "phy_name":"SLICE_0/B0" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_5/COUT", "phy_name":"SLICE_0/FCO" }, "arrive":2.367, "delay":0.152 }, { "type":"net_delay", "net": { "log_name":"n136", "phy_name":"n136" }, "arrive":2.367, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_7/CIN", "phy_name":"SLICE_3/FCI" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_7/S0", "phy_name":"SLICE_3/F0" }, "arrive":2.470, "delay":0.103 }, { "type":"net_delay", "net": { "log_name":"CNT2_7__N_3[5]", "phy_name":"CNT2_7__N_3[5]" }, "arrive":2.470, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.470, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- ------ --------------------- ------ CNT2_e3_e3_e3_20__i3.ff_inst/CLK->CNT2_e3_e3_e3_20__i3.ff_inst/Q SLICE_R3C67C REG_DEL 0.173 2.149 2 CNT2[3] NET DELAY 0.066 2.215 2 CNT2_e3_e3_e3_20_add_4_5/B0->CNT2_e3_e3_e3_20_add_4_5/COUT SLICE_R3C67C C0TOFCO_DEL 0.152 2.367 1 n136 NET DELAY 0.000 2.367 1 CNT2_e3_e3_e3_20_add_4_7/CIN->CNT2_e3_e3_e3_20_add_4_7/S0 SLICE_R3C67D FCITOF0_DEL 0.103 2.470 1 CNT2_7__N_3[5] NET DELAY 0.000 2.470 1 CNT2_e3_e3_e3_20__i5.ff_inst/DF ENDPOINT 0.000 2.470 1 Destination Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i5.ff_inst/CLK", "phy_name":"SLICE_3/CLK" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":0.000, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":0.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":1.000, "delay":1.000 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":1.340, "delay":0.340 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":1.340, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":2.004, "delay":0.664 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.004, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- ------ --------------------- ------ CONSTRAINT 0.000 0.000 1 Clk top CLOCK LATENCY 0.000 0.000 1 Clk NET DELAY 0.000 0.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.000 1.000 3 Clk_c NET DELAY 0.340 1.340 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 1.340 13 clk2 NET DELAY 0.664 2.004 13 {CNT2_e3_e3_e3_20__i5.ff_inst/CLK CNT2_e3_e3_e3_20__i6.ff_inst/CLK} CLOCK PIN 0.000 2.004 1 Uncertainty 0.000 2.004 Common Path Skew -0.028 1.976 Hold time 0.114 2.090 ---------------------------------------- -------------- ---------------- ------ --------------------- ------ Required Time -2.090 Arrival Time 2.470 ---------------------------------------- -------------- ---------------- ------ --------------------- ------ Path Slack (Passed) 0.380 ++++ Path 15 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Path Begin : CNT2_e3_e3_e3_20__i0.ff_inst/Q (SLICE_R3C67A) Path End : CNT2_e3_e3_e3_20__i1.ff_inst/DF (SLICE_R3C67B) Source Clock : CLK2 (R) Destination Clock: CLK2 (R) Logic Level : 3 Delay Ratio : 13.3% (route), 86.7% (logic) Clock Skew : 0.028 ns Hold Constraint : 0.000 ns Common Path Skew : -0.028 ns Path Slack : 0.381 ns (Passed) Source Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i0.ff_inst/CLK", "phy_name":"SLICE_1/CLK" }, "path_sections":[ { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":0.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":1.000, "delay":1.000 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":1.334, "delay":0.334 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":1.334, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":1.976, "delay":0.642 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":1.976, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- ------ --------------------- ------ Clk top CLOCK LATENCY 0.000 0.000 1 Clk NET DELAY 0.000 0.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.000 1.000 3 Clk_c NET DELAY 0.334 1.334 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 1.334 13 clk2 NET DELAY 0.642 1.976 13 CNT2_e3_e3_e3_20__i0.ff_inst/CLK CLOCK PIN 0.000 1.976 1 Data Path { "path_begin": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i0.ff_inst/Q", "phy_name":"SLICE_1/Q1" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i1.ff_inst/DF", "phy_name":"SLICE_2/DI0" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20__i0.ff_inst/CLK", "phy_name":"SLICE_1/CLK" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20__i0.ff_inst/Q", "phy_name":"SLICE_1/Q1" }, "arrive":2.150, "delay":0.174 }, { "type":"net_delay", "net": { "log_name":"CNT2[0]", "phy_name":"CNT2[0]" }, "arrive":2.216, "delay":0.066 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_cin/B1", "phy_name":"SLICE_1/B1" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_cin/COUT", "phy_name":"SLICE_1/FCO" }, "arrive":2.368, "delay":0.152 }, { "type":"net_delay", "net": { "log_name":"n134", "phy_name":"n134" }, "arrive":2.368, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_3/CIN", "phy_name":"SLICE_2/FCI" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_3/S0", "phy_name":"SLICE_2/F0" }, "arrive":2.471, "delay":0.103 }, { "type":"net_delay", "net": { "log_name":"CNT2_7__N_3[1]", "phy_name":"CNT2_7__N_3[1]" }, "arrive":2.471, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.471, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- ------ --------------------- ------ CNT2_e3_e3_e3_20__i0.ff_inst/CLK->CNT2_e3_e3_e3_20__i0.ff_inst/Q SLICE_R3C67A REG_DEL 0.174 2.150 2 CNT2[0] NET DELAY 0.066 2.216 2 CNT2_e3_e3_e3_20_add_4_cin/B1->CNT2_e3_e3_e3_20_add_4_cin/COUT SLICE_R3C67A C1TOFCO_DEL 0.152 2.368 1 n134 NET DELAY 0.000 2.368 1 CNT2_e3_e3_e3_20_add_4_3/CIN->CNT2_e3_e3_e3_20_add_4_3/S0 SLICE_R3C67B FCITOF0_DEL 0.103 2.471 1 CNT2_7__N_3[1] NET DELAY 0.000 2.471 1 CNT2_e3_e3_e3_20__i1.ff_inst/DF ENDPOINT 0.000 2.471 1 Destination Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i1.ff_inst/CLK", "phy_name":"SLICE_2/CLK" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":0.000, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":0.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":1.000, "delay":1.000 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":1.340, "delay":0.340 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":1.340, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":2.004, "delay":0.664 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.004, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- ------ --------------------- ------ CONSTRAINT 0.000 0.000 1 Clk top CLOCK LATENCY 0.000 0.000 1 Clk NET DELAY 0.000 0.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.000 1.000 3 Clk_c NET DELAY 0.340 1.340 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 1.340 13 clk2 NET DELAY 0.664 2.004 13 {CNT2_e3_e3_e3_20__i1.ff_inst/CLK CNT2_e3_e3_e3_20__i2.ff_inst/CLK} CLOCK PIN 0.000 2.004 1 Uncertainty 0.000 2.004 Common Path Skew -0.028 1.976 Hold time 0.114 2.090 ---------------------------------------- -------------- ---------------- ------ --------------------- ------ Required Time -2.090 Arrival Time 2.471 ---------------------------------------- -------------- ---------------- ------ --------------------- ------ Path Slack (Passed) 0.381 ++++ Path 16 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Path Begin : CNT2_e3_e3_e3_20__i3.ff_inst/Q (SLICE_R3C67C) Path End : CNT2_e3_e3_e3_20__i7.ff_inst/DF (SLICE_R3C68A) Source Clock : CLK2 (R) Destination Clock: CLK2 (R) Logic Level : 4 Delay Ratio : 12.5% (route), 87.5% (logic) Clock Skew : 0.028 ns Hold Constraint : 0.000 ns Common Path Skew : -0.026 ns Path Slack : 0.408 ns (Passed) Source Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i3.ff_inst/CLK", "phy_name":"SLICE_0/CLK" }, "path_sections":[ { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":0.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":1.000, "delay":1.000 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":1.334, "delay":0.334 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":1.334, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":1.976, "delay":0.642 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":1.976, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- ------ --------------------- ------ Clk top CLOCK LATENCY 0.000 0.000 1 Clk NET DELAY 0.000 0.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.000 1.000 3 Clk_c NET DELAY 0.334 1.334 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 1.334 13 clk2 NET DELAY 0.642 1.976 13 {CNT2_e3_e3_e3_20__i3.ff_inst/CLK CNT2_e3_e3_e3_20__i4.ff_inst/CLK} CLOCK PIN 0.000 1.976 1 Data Path { "path_begin": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i3.ff_inst/Q", "phy_name":"SLICE_0/Q0" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i7.ff_inst/DF", "phy_name":"SLICE_4/DI0" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20__i3.ff_inst/CLK", "phy_name":"SLICE_0/CLK" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20__i3.ff_inst/Q", "phy_name":"SLICE_0/Q0" }, "arrive":2.149, "delay":0.173 }, { "type":"net_delay", "net": { "log_name":"CNT2[3]", "phy_name":"CNT2[3]" }, "arrive":2.215, "delay":0.066 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_5/B0", "phy_name":"SLICE_0/B0" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_5/COUT", "phy_name":"SLICE_0/FCO" }, "arrive":2.367, "delay":0.152 }, { "type":"net_delay", "net": { "log_name":"n136", "phy_name":"n136" }, "arrive":2.367, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_7/CIN", "phy_name":"SLICE_3/FCI" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_7/COUT", "phy_name":"SLICE_3/FCO" }, "arrive":2.397, "delay":0.034 }, { "type":"net_delay", "net": { "log_name":"n137", "phy_name":"n137" }, "arrive":2.397, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_9/CIN", "phy_name":"SLICE_4/FCI" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_9/S0", "phy_name":"SLICE_4/F0" }, "arrive":2.498, "delay":0.103 }, { "type":"net_delay", "net": { "log_name":"CNT2_7__N_3[7]", "phy_name":"CNT2_7__N_3[7]" }, "arrive":2.498, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.498, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- ------ --------------------- ------ CNT2_e3_e3_e3_20__i3.ff_inst/CLK->CNT2_e3_e3_e3_20__i3.ff_inst/Q SLICE_R3C67C REG_DEL 0.173 2.149 2 CNT2[3] NET DELAY 0.066 2.215 2 CNT2_e3_e3_e3_20_add_4_5/B0->CNT2_e3_e3_e3_20_add_4_5/COUT SLICE_R3C67C C0TOFCO_DEL 0.152 2.367 1 n136 NET DELAY 0.000 2.367 1 CNT2_e3_e3_e3_20_add_4_7/CIN->CNT2_e3_e3_e3_20_add_4_7/COUT SLICE_R3C67D FCITOFCO_DEL 0.034 2.397 1 n137 NET DELAY 0.000 2.397 1 CNT2_e3_e3_e3_20_add_4_9/CIN->CNT2_e3_e3_e3_20_add_4_9/S0 SLICE_R3C68A FCITOF0_DEL 0.103 2.498 1 CNT2_7__N_3[7] NET DELAY 0.000 2.498 1 CNT2_e3_e3_e3_20__i7.ff_inst/DF ENDPOINT 0.000 2.498 1 Destination Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i7.ff_inst/CLK", "phy_name":"SLICE_4/CLK" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":0.000, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":0.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":1.000, "delay":1.000 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":1.340, "delay":0.340 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":1.340, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":2.004, "delay":0.664 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.004, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- ------ --------------------- ------ CONSTRAINT 0.000 0.000 1 Clk top CLOCK LATENCY 0.000 0.000 1 Clk NET DELAY 0.000 0.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.000 1.000 3 Clk_c NET DELAY 0.340 1.340 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 1.340 13 clk2 NET DELAY 0.664 2.004 13 CNT2_e3_e3_e3_20__i7.ff_inst/CLK CLOCK PIN 0.000 2.004 1 Uncertainty 0.000 2.004 Common Path Skew -0.026 1.978 Hold time 0.114 2.092 ---------------------------------------- -------------- ---------------- ------ --------------------- ------ Required Time -2.092 Arrival Time 2.500 ---------------------------------------- -------------- ---------------- ------ --------------------- ------ Path Slack (Passed) 0.408 ++++ Path 17 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Path Begin : CNT2_e3_e3_e3_20__i0.ff_inst/Q (SLICE_R3C67A) Path End : CNT2_e3_e3_e3_20__i3.ff_inst/DF (SLICE_R3C67C) Source Clock : CLK2 (R) Destination Clock: CLK2 (R) Logic Level : 4 Delay Ratio : 12.5% (route), 87.5% (logic) Clock Skew : 0.028 ns Hold Constraint : 0.000 ns Common Path Skew : -0.026 ns Path Slack : 0.409 ns (Passed) Source Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i0.ff_inst/CLK", "phy_name":"SLICE_1/CLK" }, "path_sections":[ { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":0.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":1.000, "delay":1.000 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":1.334, "delay":0.334 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":1.334, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":1.976, "delay":0.642 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":1.976, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- ------ --------------------- ------ Clk top CLOCK LATENCY 0.000 0.000 1 Clk NET DELAY 0.000 0.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.000 1.000 3 Clk_c NET DELAY 0.334 1.334 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 1.334 13 clk2 NET DELAY 0.642 1.976 13 CNT2_e3_e3_e3_20__i0.ff_inst/CLK CLOCK PIN 0.000 1.976 1 Data Path { "path_begin": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i0.ff_inst/Q", "phy_name":"SLICE_1/Q1" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i3.ff_inst/DF", "phy_name":"SLICE_0/DI0" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20__i0.ff_inst/CLK", "phy_name":"SLICE_1/CLK" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20__i0.ff_inst/Q", "phy_name":"SLICE_1/Q1" }, "arrive":2.150, "delay":0.174 }, { "type":"net_delay", "net": { "log_name":"CNT2[0]", "phy_name":"CNT2[0]" }, "arrive":2.216, "delay":0.066 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_cin/B1", "phy_name":"SLICE_1/B1" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_cin/COUT", "phy_name":"SLICE_1/FCO" }, "arrive":2.368, "delay":0.152 }, { "type":"net_delay", "net": { "log_name":"n134", "phy_name":"n134" }, "arrive":2.368, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_3/CIN", "phy_name":"SLICE_2/FCI" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_3/COUT", "phy_name":"SLICE_2/FCO" }, "arrive":2.398, "delay":0.034 }, { "type":"net_delay", "net": { "log_name":"n135", "phy_name":"n135" }, "arrive":2.398, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_5/CIN", "phy_name":"SLICE_0/FCI" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_5/S0", "phy_name":"SLICE_0/F0" }, "arrive":2.499, "delay":0.103 }, { "type":"net_delay", "net": { "log_name":"CNT2_7__N_3[3]", "phy_name":"CNT2_7__N_3[3]" }, "arrive":2.499, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.499, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- ------ --------------------- ------ CNT2_e3_e3_e3_20__i0.ff_inst/CLK->CNT2_e3_e3_e3_20__i0.ff_inst/Q SLICE_R3C67A REG_DEL 0.174 2.150 2 CNT2[0] NET DELAY 0.066 2.216 2 CNT2_e3_e3_e3_20_add_4_cin/B1->CNT2_e3_e3_e3_20_add_4_cin/COUT SLICE_R3C67A C1TOFCO_DEL 0.152 2.368 1 n134 NET DELAY 0.000 2.368 1 CNT2_e3_e3_e3_20_add_4_3/CIN->CNT2_e3_e3_e3_20_add_4_3/COUT SLICE_R3C67B FCITOFCO_DEL 0.034 2.398 1 n135 NET DELAY 0.000 2.398 1 CNT2_e3_e3_e3_20_add_4_5/CIN->CNT2_e3_e3_e3_20_add_4_5/S0 SLICE_R3C67C FCITOF0_DEL 0.103 2.499 1 CNT2_7__N_3[3] NET DELAY 0.000 2.499 1 CNT2_e3_e3_e3_20__i3.ff_inst/DF ENDPOINT 0.000 2.499 1 Destination Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i3.ff_inst/CLK", "phy_name":"SLICE_0/CLK" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":0.000, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":0.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":1.000, "delay":1.000 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":1.340, "delay":0.340 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":1.340, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":2.004, "delay":0.664 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.004, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- ------ --------------------- ------ CONSTRAINT 0.000 0.000 1 Clk top CLOCK LATENCY 0.000 0.000 1 Clk NET DELAY 0.000 0.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.000 1.000 3 Clk_c NET DELAY 0.340 1.340 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 1.340 13 clk2 NET DELAY 0.664 2.004 13 {CNT2_e3_e3_e3_20__i3.ff_inst/CLK CNT2_e3_e3_e3_20__i4.ff_inst/CLK} CLOCK PIN 0.000 2.004 1 Uncertainty 0.000 2.004 Common Path Skew -0.026 1.978 Hold time 0.114 2.092 ---------------------------------------- -------------- ---------------- ------ --------------------- ------ Required Time -2.092 Arrival Time 2.501 ---------------------------------------- -------------- ---------------- ------ --------------------- ------ Path Slack (Passed) 0.409 ++++ Path 18 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Path Begin : CNT2_e3_e3_e3_20__i2.ff_inst/Q (SLICE_R3C67B) Path End : CNT2_e3_e3_e3_20__i5.ff_inst/DF (SLICE_R3C67D) Source Clock : CLK2 (R) Destination Clock: CLK2 (R) Logic Level : 4 Delay Ratio : 12.5% (route), 87.5% (logic) Clock Skew : 0.028 ns Hold Constraint : 0.000 ns Common Path Skew : -0.026 ns Path Slack : 0.409 ns (Passed) Source Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i1.ff_inst/CLK", "phy_name":"SLICE_2/CLK" }, "path_sections":[ { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":0.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":1.000, "delay":1.000 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":1.334, "delay":0.334 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":1.334, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":1.976, "delay":0.642 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":1.976, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- ------ --------------------- ------ Clk top CLOCK LATENCY 0.000 0.000 1 Clk NET DELAY 0.000 0.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.000 1.000 3 Clk_c NET DELAY 0.334 1.334 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 1.334 13 clk2 NET DELAY 0.642 1.976 13 {CNT2_e3_e3_e3_20__i1.ff_inst/CLK CNT2_e3_e3_e3_20__i2.ff_inst/CLK} CLOCK PIN 0.000 1.976 1 Data Path { "path_begin": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i2.ff_inst/Q", "phy_name":"SLICE_2/Q1" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i5.ff_inst/DF", "phy_name":"SLICE_3/DI0" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20__i2.ff_inst/CLK", "phy_name":"SLICE_2/CLK" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20__i2.ff_inst/Q", "phy_name":"SLICE_2/Q1" }, "arrive":2.150, "delay":0.174 }, { "type":"net_delay", "net": { "log_name":"CNT2[2]", "phy_name":"CNT2[2]" }, "arrive":2.216, "delay":0.066 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_3/B1", "phy_name":"SLICE_2/B1" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_3/COUT", "phy_name":"SLICE_2/FCO" }, "arrive":2.368, "delay":0.152 }, { "type":"net_delay", "net": { "log_name":"n135", "phy_name":"n135" }, "arrive":2.368, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_5/CIN", "phy_name":"SLICE_0/FCI" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_5/COUT", "phy_name":"SLICE_0/FCO" }, "arrive":2.396, "delay":0.034 }, { "type":"net_delay", "net": { "log_name":"n136", "phy_name":"n136" }, "arrive":2.396, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_7/CIN", "phy_name":"SLICE_3/FCI" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_7/S0", "phy_name":"SLICE_3/F0" }, "arrive":2.499, "delay":0.103 }, { "type":"net_delay", "net": { "log_name":"CNT2_7__N_3[5]", "phy_name":"CNT2_7__N_3[5]" }, "arrive":2.499, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.499, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- ------ --------------------- ------ CNT2_e3_e3_e3_20__i2.ff_inst/CLK->CNT2_e3_e3_e3_20__i2.ff_inst/Q SLICE_R3C67B REG_DEL 0.174 2.150 2 CNT2[2] NET DELAY 0.066 2.216 2 CNT2_e3_e3_e3_20_add_4_3/B1->CNT2_e3_e3_e3_20_add_4_3/COUT SLICE_R3C67B C1TOFCO_DEL 0.152 2.368 1 n135 NET DELAY 0.000 2.368 1 CNT2_e3_e3_e3_20_add_4_5/CIN->CNT2_e3_e3_e3_20_add_4_5/COUT SLICE_R3C67C FCITOFCO_DEL 0.034 2.396 1 n136 NET DELAY 0.000 2.396 1 CNT2_e3_e3_e3_20_add_4_7/CIN->CNT2_e3_e3_e3_20_add_4_7/S0 SLICE_R3C67D FCITOF0_DEL 0.103 2.499 1 CNT2_7__N_3[5] NET DELAY 0.000 2.499 1 CNT2_e3_e3_e3_20__i5.ff_inst/DF ENDPOINT 0.000 2.499 1 Destination Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i5.ff_inst/CLK", "phy_name":"SLICE_3/CLK" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":0.000, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":0.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":1.000, "delay":1.000 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":1.340, "delay":0.340 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":1.340, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":2.004, "delay":0.664 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.004, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- ------ --------------------- ------ CONSTRAINT 0.000 0.000 1 Clk top CLOCK LATENCY 0.000 0.000 1 Clk NET DELAY 0.000 0.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.000 1.000 3 Clk_c NET DELAY 0.340 1.340 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 1.340 13 clk2 NET DELAY 0.664 2.004 13 {CNT2_e3_e3_e3_20__i5.ff_inst/CLK CNT2_e3_e3_e3_20__i6.ff_inst/CLK} CLOCK PIN 0.000 2.004 1 Uncertainty 0.000 2.004 Common Path Skew -0.026 1.978 Hold time 0.114 2.092 ---------------------------------------- -------------- ---------------- ------ --------------------- ------ Required Time -2.092 Arrival Time 2.501 ---------------------------------------- -------------- ---------------- ------ --------------------- ------ Path Slack (Passed) 0.409 ++++ Path 19 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Path Begin : CNT2_e3_e3_e3_20__i2.ff_inst/Q (SLICE_R3C67B) Path End : CNT2_e3_e3_e3_20__i4.ff_inst/DF (SLICE_R3C67C) Source Clock : CLK2 (R) Destination Clock: CLK2 (R) Logic Level : 3 Delay Ratio : 12.3% (route), 87.7% (logic) Clock Skew : 0.028 ns Hold Constraint : 0.000 ns Common Path Skew : -0.026 ns Path Slack : 0.419 ns (Passed) Source Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i1.ff_inst/CLK", "phy_name":"SLICE_2/CLK" }, "path_sections":[ { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":0.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":1.000, "delay":1.000 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":1.334, "delay":0.334 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":1.334, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":1.976, "delay":0.642 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":1.976, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- ------ --------------------- ------ Clk top CLOCK LATENCY 0.000 0.000 1 Clk NET DELAY 0.000 0.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.000 1.000 3 Clk_c NET DELAY 0.334 1.334 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 1.334 13 clk2 NET DELAY 0.642 1.976 13 {CNT2_e3_e3_e3_20__i1.ff_inst/CLK CNT2_e3_e3_e3_20__i2.ff_inst/CLK} CLOCK PIN 0.000 1.976 1 Data Path { "path_begin": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i2.ff_inst/Q", "phy_name":"SLICE_2/Q1" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i4.ff_inst/DF", "phy_name":"SLICE_0/DI1" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20__i2.ff_inst/CLK", "phy_name":"SLICE_2/CLK" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20__i2.ff_inst/Q", "phy_name":"SLICE_2/Q1" }, "arrive":2.150, "delay":0.174 }, { "type":"net_delay", "net": { "log_name":"CNT2[2]", "phy_name":"CNT2[2]" }, "arrive":2.216, "delay":0.066 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_3/B1", "phy_name":"SLICE_2/B1" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_3/COUT", "phy_name":"SLICE_2/FCO" }, "arrive":2.368, "delay":0.152 }, { "type":"net_delay", "net": { "log_name":"n135", "phy_name":"n135" }, "arrive":2.368, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_5/CIN", "phy_name":"SLICE_0/FCI" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_5/S1", "phy_name":"SLICE_0/F1" }, "arrive":2.509, "delay":0.143 }, { "type":"net_delay", "net": { "log_name":"CNT2_7__N_3[4]", "phy_name":"CNT2_7__N_3[4]" }, "arrive":2.509, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.509, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- ------ --------------------- ------ CNT2_e3_e3_e3_20__i2.ff_inst/CLK->CNT2_e3_e3_e3_20__i2.ff_inst/Q SLICE_R3C67B REG_DEL 0.174 2.150 2 CNT2[2] NET DELAY 0.066 2.216 2 CNT2_e3_e3_e3_20_add_4_3/B1->CNT2_e3_e3_e3_20_add_4_3/COUT SLICE_R3C67B C1TOFCO_DEL 0.152 2.368 1 n135 NET DELAY 0.000 2.368 1 CNT2_e3_e3_e3_20_add_4_5/CIN->CNT2_e3_e3_e3_20_add_4_5/S1 SLICE_R3C67C FCITOF1_DEL 0.143 2.509 1 CNT2_7__N_3[4] NET DELAY 0.000 2.509 1 CNT2_e3_e3_e3_20__i4.ff_inst/DF ENDPOINT 0.000 2.509 1 Destination Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i3.ff_inst/CLK", "phy_name":"SLICE_0/CLK" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":0.000, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":0.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":1.000, "delay":1.000 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":1.340, "delay":0.340 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":1.340, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":2.004, "delay":0.664 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.004, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- ------ --------------------- ------ CONSTRAINT 0.000 0.000 1 Clk top CLOCK LATENCY 0.000 0.000 1 Clk NET DELAY 0.000 0.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.000 1.000 3 Clk_c NET DELAY 0.340 1.340 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 1.340 13 clk2 NET DELAY 0.664 2.004 13 {CNT2_e3_e3_e3_20__i3.ff_inst/CLK CNT2_e3_e3_e3_20__i4.ff_inst/CLK} CLOCK PIN 0.000 2.004 1 Uncertainty 0.000 2.004 Common Path Skew -0.026 1.978 Hold time 0.114 2.092 ---------------------------------------- -------------- ---------------- ------ --------------------- ------ Required Time -2.092 Arrival Time 2.511 ---------------------------------------- -------------- ---------------- ------ --------------------- ------ Path Slack (Passed) 0.419 ++++ Path 20 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Path Begin : CNT2_e3_e3_e3_20__i3.ff_inst/Q (SLICE_R3C67C) Path End : CNT2_e3_e3_e3_20__i4.ff_inst/DF (SLICE_R3C67C) Source Clock : CLK2 (R) Destination Clock: CLK2 (R) Logic Level : 2 Delay Ratio : 13.2% (route), 86.8% (logic) Clock Skew : 0.028 ns Hold Constraint : 0.000 ns Common Path Skew : -0.028 ns Path Slack : 0.386 ns (Passed) Source Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i3.ff_inst/CLK", "phy_name":"SLICE_0/CLK" }, "path_sections":[ { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":0.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":1.000, "delay":1.000 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":1.334, "delay":0.334 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":1.334, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":1.976, "delay":0.642 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":1.976, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- ------ --------------------- ------ Clk top CLOCK LATENCY 0.000 0.000 1 Clk NET DELAY 0.000 0.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.000 1.000 3 Clk_c NET DELAY 0.334 1.334 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 1.334 13 clk2 NET DELAY 0.642 1.976 13 {CNT2_e3_e3_e3_20__i3.ff_inst/CLK CNT2_e3_e3_e3_20__i4.ff_inst/CLK} CLOCK PIN 0.000 1.976 1 Data Path { "path_begin": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i3.ff_inst/Q", "phy_name":"SLICE_0/Q0" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i4.ff_inst/DF", "phy_name":"SLICE_0/DI1" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20__i3.ff_inst/CLK", "phy_name":"SLICE_0/CLK" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20__i3.ff_inst/Q", "phy_name":"SLICE_0/Q0" }, "arrive":2.149, "delay":0.173 }, { "type":"net_delay", "net": { "log_name":"CNT2[3]", "phy_name":"CNT2[3]" }, "arrive":2.215, "delay":0.066 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_5/B0", "phy_name":"SLICE_0/B0" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_5/S1", "phy_name":"SLICE_0/F1" }, "arrive":2.476, "delay":0.261 }, { "type":"net_delay", "net": { "log_name":"CNT2_7__N_3[4]", "phy_name":"CNT2_7__N_3[4]" }, "arrive":2.476, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.476, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- ------ --------------------- ------ CNT2_e3_e3_e3_20__i3.ff_inst/CLK->CNT2_e3_e3_e3_20__i3.ff_inst/Q SLICE_R3C67C REG_DEL 0.173 2.149 2 CNT2[3] NET DELAY 0.066 2.215 2 CNT2_e3_e3_e3_20_add_4_5/B0->CNT2_e3_e3_e3_20_add_4_5/S1 SLICE_R3C67C CTOF_DEL 0.261 2.476 1 CNT2_7__N_3[4] NET DELAY 0.000 2.476 1 CNT2_e3_e3_e3_20__i4.ff_inst/DF ENDPOINT 0.000 2.476 1 Destination Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i3.ff_inst/CLK", "phy_name":"SLICE_0/CLK" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":0.000, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":0.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":1.000, "delay":1.000 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":1.340, "delay":0.340 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":1.340, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":2.004, "delay":0.664 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.004, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- ------ --------------------- ------ CONSTRAINT 0.000 0.000 1 Clk top CLOCK LATENCY 0.000 0.000 1 Clk NET DELAY 0.000 0.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.000 1.000 3 Clk_c NET DELAY 0.340 1.340 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 1.340 13 clk2 NET DELAY 0.664 2.004 13 {CNT2_e3_e3_e3_20__i3.ff_inst/CLK CNT2_e3_e3_e3_20__i4.ff_inst/CLK} CLOCK PIN 0.000 2.004 1 Uncertainty 0.000 2.004 Common Path Skew -0.028 1.976 Hold time 0.114 2.090 ---------------------------------------- -------------- ---------------- ------ --------------------- ------ Required Time -2.090 Arrival Time 2.476 ---------------------------------------- -------------- ---------------- ------ --------------------- ------ Path Slack (Passed) 0.386 ++++ Path 21 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Path Begin : CNT2_e3_e3_e3_20__i3.ff_inst/Q (SLICE_R3C67C) Path End : CNT2_e3_e3_e3_20__i6.ff_inst/DF (SLICE_R3C67D) Source Clock : CLK2 (R) Destination Clock: CLK2 (R) Logic Level : 3 Delay Ratio : 12.4% (route), 87.6% (logic) Clock Skew : 0.028 ns Hold Constraint : 0.000 ns Common Path Skew : -0.028 ns Path Slack : 0.420 ns (Passed) Source Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i3.ff_inst/CLK", "phy_name":"SLICE_0/CLK" }, "path_sections":[ { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":0.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":1.000, "delay":1.000 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":1.334, "delay":0.334 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":1.334, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":1.976, "delay":0.642 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":1.976, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- ------ --------------------- ------ Clk top CLOCK LATENCY 0.000 0.000 1 Clk NET DELAY 0.000 0.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.000 1.000 3 Clk_c NET DELAY 0.334 1.334 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 1.334 13 clk2 NET DELAY 0.642 1.976 13 {CNT2_e3_e3_e3_20__i3.ff_inst/CLK CNT2_e3_e3_e3_20__i4.ff_inst/CLK} CLOCK PIN 0.000 1.976 1 Data Path { "path_begin": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i3.ff_inst/Q", "phy_name":"SLICE_0/Q0" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i6.ff_inst/DF", "phy_name":"SLICE_3/DI1" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20__i3.ff_inst/CLK", "phy_name":"SLICE_0/CLK" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20__i3.ff_inst/Q", "phy_name":"SLICE_0/Q0" }, "arrive":2.149, "delay":0.173 }, { "type":"net_delay", "net": { "log_name":"CNT2[3]", "phy_name":"CNT2[3]" }, "arrive":2.215, "delay":0.066 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_5/B0", "phy_name":"SLICE_0/B0" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_5/COUT", "phy_name":"SLICE_0/FCO" }, "arrive":2.367, "delay":0.152 }, { "type":"net_delay", "net": { "log_name":"n136", "phy_name":"n136" }, "arrive":2.367, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_7/CIN", "phy_name":"SLICE_3/FCI" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_7/S1", "phy_name":"SLICE_3/F1" }, "arrive":2.510, "delay":0.143 }, { "type":"net_delay", "net": { "log_name":"CNT2_7__N_3[6]", "phy_name":"CNT2_7__N_3[6]" }, "arrive":2.510, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.510, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- ------ --------------------- ------ CNT2_e3_e3_e3_20__i3.ff_inst/CLK->CNT2_e3_e3_e3_20__i3.ff_inst/Q SLICE_R3C67C REG_DEL 0.173 2.149 2 CNT2[3] NET DELAY 0.066 2.215 2 CNT2_e3_e3_e3_20_add_4_5/B0->CNT2_e3_e3_e3_20_add_4_5/COUT SLICE_R3C67C C0TOFCO_DEL 0.152 2.367 1 n136 NET DELAY 0.000 2.367 1 CNT2_e3_e3_e3_20_add_4_7/CIN->CNT2_e3_e3_e3_20_add_4_7/S1 SLICE_R3C67D FCITOF1_DEL 0.143 2.510 1 CNT2_7__N_3[6] NET DELAY 0.000 2.510 1 CNT2_e3_e3_e3_20__i6.ff_inst/DF ENDPOINT 0.000 2.510 1 Destination Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i5.ff_inst/CLK", "phy_name":"SLICE_3/CLK" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":0.000, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":0.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":1.000, "delay":1.000 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":1.340, "delay":0.340 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":1.340, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":2.004, "delay":0.664 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.004, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- ------ --------------------- ------ CONSTRAINT 0.000 0.000 1 Clk top CLOCK LATENCY 0.000 0.000 1 Clk NET DELAY 0.000 0.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.000 1.000 3 Clk_c NET DELAY 0.340 1.340 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 1.340 13 clk2 NET DELAY 0.664 2.004 13 {CNT2_e3_e3_e3_20__i5.ff_inst/CLK CNT2_e3_e3_e3_20__i6.ff_inst/CLK} CLOCK PIN 0.000 2.004 1 Uncertainty 0.000 2.004 Common Path Skew -0.028 1.976 Hold time 0.114 2.090 ---------------------------------------- -------------- ---------------- ------ --------------------- ------ Required Time -2.090 Arrival Time 2.510 ---------------------------------------- -------------- ---------------- ------ --------------------- ------ Path Slack (Passed) 0.420 ++++ Path 22 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Path Begin : CNT2_e3_e3_e3_20__i5.ff_inst/Q (SLICE_R3C67D) Path End : CNT2_e3_e3_e3_20__i6.ff_inst/DF (SLICE_R3C67D) Source Clock : CLK2 (R) Destination Clock: CLK2 (R) Logic Level : 2 Delay Ratio : 13.2% (route), 86.8% (logic) Clock Skew : 0.028 ns Hold Constraint : 0.000 ns Common Path Skew : -0.028 ns Path Slack : 0.386 ns (Passed) Source Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i5.ff_inst/CLK", "phy_name":"SLICE_3/CLK" }, "path_sections":[ { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":0.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":1.000, "delay":1.000 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":1.334, "delay":0.334 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":1.334, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":1.976, "delay":0.642 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":1.976, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- ------ --------------------- ------ Clk top CLOCK LATENCY 0.000 0.000 1 Clk NET DELAY 0.000 0.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.000 1.000 3 Clk_c NET DELAY 0.334 1.334 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 1.334 13 clk2 NET DELAY 0.642 1.976 13 {CNT2_e3_e3_e3_20__i5.ff_inst/CLK CNT2_e3_e3_e3_20__i6.ff_inst/CLK} CLOCK PIN 0.000 1.976 1 Data Path { "path_begin": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i5.ff_inst/Q", "phy_name":"SLICE_3/Q0" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i6.ff_inst/DF", "phy_name":"SLICE_3/DI1" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20__i5.ff_inst/CLK", "phy_name":"SLICE_3/CLK" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20__i5.ff_inst/Q", "phy_name":"SLICE_3/Q0" }, "arrive":2.149, "delay":0.173 }, { "type":"net_delay", "net": { "log_name":"CNT2[5]", "phy_name":"CNT2[5]" }, "arrive":2.215, "delay":0.066 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_7/B0", "phy_name":"SLICE_3/B0" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_7/S1", "phy_name":"SLICE_3/F1" }, "arrive":2.476, "delay":0.261 }, { "type":"net_delay", "net": { "log_name":"CNT2_7__N_3[6]", "phy_name":"CNT2_7__N_3[6]" }, "arrive":2.476, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.476, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- ------ --------------------- ------ CNT2_e3_e3_e3_20__i5.ff_inst/CLK->CNT2_e3_e3_e3_20__i5.ff_inst/Q SLICE_R3C67D REG_DEL 0.173 2.149 2 CNT2[5] NET DELAY 0.066 2.215 2 CNT2_e3_e3_e3_20_add_4_7/B0->CNT2_e3_e3_e3_20_add_4_7/S1 SLICE_R3C67D CTOF_DEL 0.261 2.476 1 CNT2_7__N_3[6] NET DELAY 0.000 2.476 1 CNT2_e3_e3_e3_20__i6.ff_inst/DF ENDPOINT 0.000 2.476 1 Destination Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i5.ff_inst/CLK", "phy_name":"SLICE_3/CLK" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":0.000, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":0.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":1.000, "delay":1.000 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":1.340, "delay":0.340 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":1.340, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":2.004, "delay":0.664 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.004, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- ------ --------------------- ------ CONSTRAINT 0.000 0.000 1 Clk top CLOCK LATENCY 0.000 0.000 1 Clk NET DELAY 0.000 0.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.000 1.000 3 Clk_c NET DELAY 0.340 1.340 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 1.340 13 clk2 NET DELAY 0.664 2.004 13 {CNT2_e3_e3_e3_20__i5.ff_inst/CLK CNT2_e3_e3_e3_20__i6.ff_inst/CLK} CLOCK PIN 0.000 2.004 1 Uncertainty 0.000 2.004 Common Path Skew -0.028 1.976 Hold time 0.114 2.090 ---------------------------------------- -------------- ---------------- ------ --------------------- ------ Required Time -2.090 Arrival Time 2.476 ---------------------------------------- -------------- ---------------- ------ --------------------- ------ Path Slack (Passed) 0.386 ++++ Path 23 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Path Begin : CNT2_e3_e3_e3_20__i0.ff_inst/Q (SLICE_R3C67A) Path End : CNT2_e3_e3_e3_20__i2.ff_inst/DF (SLICE_R3C67B) Source Clock : CLK2 (R) Destination Clock: CLK2 (R) Logic Level : 3 Delay Ratio : 12.3% (route), 87.7% (logic) Clock Skew : 0.028 ns Hold Constraint : 0.000 ns Common Path Skew : -0.028 ns Path Slack : 0.421 ns (Passed) Source Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i0.ff_inst/CLK", "phy_name":"SLICE_1/CLK" }, "path_sections":[ { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":0.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":1.000, "delay":1.000 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":1.334, "delay":0.334 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":1.334, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":1.976, "delay":0.642 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":1.976, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- ------ --------------------- ------ Clk top CLOCK LATENCY 0.000 0.000 1 Clk NET DELAY 0.000 0.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.000 1.000 3 Clk_c NET DELAY 0.334 1.334 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 1.334 13 clk2 NET DELAY 0.642 1.976 13 CNT2_e3_e3_e3_20__i0.ff_inst/CLK CLOCK PIN 0.000 1.976 1 Data Path { "path_begin": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i0.ff_inst/Q", "phy_name":"SLICE_1/Q1" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i2.ff_inst/DF", "phy_name":"SLICE_2/DI1" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20__i0.ff_inst/CLK", "phy_name":"SLICE_1/CLK" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20__i0.ff_inst/Q", "phy_name":"SLICE_1/Q1" }, "arrive":2.150, "delay":0.174 }, { "type":"net_delay", "net": { "log_name":"CNT2[0]", "phy_name":"CNT2[0]" }, "arrive":2.216, "delay":0.066 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_cin/B1", "phy_name":"SLICE_1/B1" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_cin/COUT", "phy_name":"SLICE_1/FCO" }, "arrive":2.368, "delay":0.152 }, { "type":"net_delay", "net": { "log_name":"n134", "phy_name":"n134" }, "arrive":2.368, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_3/CIN", "phy_name":"SLICE_2/FCI" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_3/S1", "phy_name":"SLICE_2/F1" }, "arrive":2.511, "delay":0.143 }, { "type":"net_delay", "net": { "log_name":"CNT2_7__N_3[2]", "phy_name":"CNT2_7__N_3[2]" }, "arrive":2.511, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.511, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- ------ --------------------- ------ CNT2_e3_e3_e3_20__i0.ff_inst/CLK->CNT2_e3_e3_e3_20__i0.ff_inst/Q SLICE_R3C67A REG_DEL 0.174 2.150 2 CNT2[0] NET DELAY 0.066 2.216 2 CNT2_e3_e3_e3_20_add_4_cin/B1->CNT2_e3_e3_e3_20_add_4_cin/COUT SLICE_R3C67A C1TOFCO_DEL 0.152 2.368 1 n134 NET DELAY 0.000 2.368 1 CNT2_e3_e3_e3_20_add_4_3/CIN->CNT2_e3_e3_e3_20_add_4_3/S1 SLICE_R3C67B FCITOF1_DEL 0.143 2.511 1 CNT2_7__N_3[2] NET DELAY 0.000 2.511 1 CNT2_e3_e3_e3_20__i2.ff_inst/DF ENDPOINT 0.000 2.511 1 Destination Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i1.ff_inst/CLK", "phy_name":"SLICE_2/CLK" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":0.000, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":0.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":1.000, "delay":1.000 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":1.340, "delay":0.340 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":1.340, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":2.004, "delay":0.664 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.004, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- ------ --------------------- ------ CONSTRAINT 0.000 0.000 1 Clk top CLOCK LATENCY 0.000 0.000 1 Clk NET DELAY 0.000 0.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.000 1.000 3 Clk_c NET DELAY 0.340 1.340 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 1.340 13 clk2 NET DELAY 0.664 2.004 13 {CNT2_e3_e3_e3_20__i1.ff_inst/CLK CNT2_e3_e3_e3_20__i2.ff_inst/CLK} CLOCK PIN 0.000 2.004 1 Uncertainty 0.000 2.004 Common Path Skew -0.028 1.976 Hold time 0.114 2.090 ---------------------------------------- -------------- ---------------- ------ --------------------- ------ Required Time -2.090 Arrival Time 2.511 ---------------------------------------- -------------- ---------------- ------ --------------------- ------ Path Slack (Passed) 0.421 ++++ Path 24 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Path Begin : CNT2_e3_e3_e3_20__i4.ff_inst/Q (SLICE_R3C67C) Path End : CNT2_e3_e3_e3_20__i5.ff_inst/DF (SLICE_R3C67D) Source Clock : CLK2 (R) Destination Clock: CLK2 (R) Logic Level : 3 Delay Ratio : 21.1% (route), 78.9% (logic) Clock Skew : 0.028 ns Hold Constraint : 0.000 ns Common Path Skew : -0.028 ns Path Slack : 0.430 ns (Passed) Source Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i3.ff_inst/CLK", "phy_name":"SLICE_0/CLK" }, "path_sections":[ { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":0.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":1.000, "delay":1.000 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":1.334, "delay":0.334 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":1.334, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":1.976, "delay":0.642 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":1.976, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- ------ --------------------- ------ Clk top CLOCK LATENCY 0.000 0.000 1 Clk NET DELAY 0.000 0.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.000 1.000 3 Clk_c NET DELAY 0.334 1.334 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 1.334 13 clk2 NET DELAY 0.642 1.976 13 {CNT2_e3_e3_e3_20__i3.ff_inst/CLK CNT2_e3_e3_e3_20__i4.ff_inst/CLK} CLOCK PIN 0.000 1.976 1 Data Path { "path_begin": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i4.ff_inst/Q", "phy_name":"SLICE_0/Q1" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i5.ff_inst/DF", "phy_name":"SLICE_3/DI0" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20__i4.ff_inst/CLK", "phy_name":"SLICE_0/CLK" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20__i4.ff_inst/Q", "phy_name":"SLICE_0/Q1" }, "arrive":2.150, "delay":0.174 }, { "type":"net_delay", "net": { "log_name":"CNT2[4]", "phy_name":"CNT2[4]" }, "arrive":2.265, "delay":0.115 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_5/B1", "phy_name":"SLICE_0/B1" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_5/COUT", "phy_name":"SLICE_0/FCO" }, "arrive":2.417, "delay":0.152 }, { "type":"net_delay", "net": { "log_name":"n136", "phy_name":"n136" }, "arrive":2.417, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_7/CIN", "phy_name":"SLICE_3/FCI" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_7/S0", "phy_name":"SLICE_3/F0" }, "arrive":2.520, "delay":0.103 }, { "type":"net_delay", "net": { "log_name":"CNT2_7__N_3[5]", "phy_name":"CNT2_7__N_3[5]" }, "arrive":2.520, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.520, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- ------ --------------------- ------ CNT2_e3_e3_e3_20__i4.ff_inst/CLK->CNT2_e3_e3_e3_20__i4.ff_inst/Q SLICE_R3C67C REG_DEL 0.174 2.150 2 CNT2[4] NET DELAY 0.115 2.265 2 CNT2_e3_e3_e3_20_add_4_5/B1->CNT2_e3_e3_e3_20_add_4_5/COUT SLICE_R3C67C C1TOFCO_DEL 0.152 2.417 1 n136 NET DELAY 0.000 2.417 1 CNT2_e3_e3_e3_20_add_4_7/CIN->CNT2_e3_e3_e3_20_add_4_7/S0 SLICE_R3C67D FCITOF0_DEL 0.103 2.520 1 CNT2_7__N_3[5] NET DELAY 0.000 2.520 1 CNT2_e3_e3_e3_20__i5.ff_inst/DF ENDPOINT 0.000 2.520 1 Destination Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i5.ff_inst/CLK", "phy_name":"SLICE_3/CLK" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":0.000, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":0.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":1.000, "delay":1.000 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":1.340, "delay":0.340 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":1.340, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":2.004, "delay":0.664 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.004, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- ------ --------------------- ------ CONSTRAINT 0.000 0.000 1 Clk top CLOCK LATENCY 0.000 0.000 1 Clk NET DELAY 0.000 0.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.000 1.000 3 Clk_c NET DELAY 0.340 1.340 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 1.340 13 clk2 NET DELAY 0.664 2.004 13 {CNT2_e3_e3_e3_20__i5.ff_inst/CLK CNT2_e3_e3_e3_20__i6.ff_inst/CLK} CLOCK PIN 0.000 2.004 1 Uncertainty 0.000 2.004 Common Path Skew -0.028 1.976 Hold time 0.114 2.090 ---------------------------------------- -------------- ---------------- ------ --------------------- ------ Required Time -2.090 Arrival Time 2.520 ---------------------------------------- -------------- ---------------- ------ --------------------- ------ Path Slack (Passed) 0.430 ++++ Path 25 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Path Begin : CNT2_e3_e3_e3_20__i2.ff_inst/Q (SLICE_R3C67B) Path End : CNT2_e3_e3_e3_20__i7.ff_inst/DF (SLICE_R3C68A) Source Clock : CLK2 (R) Destination Clock: CLK2 (R) Logic Level : 5 Delay Ratio : 11.7% (route), 88.3% (logic) Clock Skew : 0.028 ns Hold Constraint : 0.000 ns Common Path Skew : -0.026 ns Path Slack : 0.439 ns (Passed) Source Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i1.ff_inst/CLK", "phy_name":"SLICE_2/CLK" }, "path_sections":[ { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":0.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":1.000, "delay":1.000 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":1.334, "delay":0.334 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":1.334, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":1.976, "delay":0.642 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":1.976, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- ------ --------------------- ------ Clk top CLOCK LATENCY 0.000 0.000 1 Clk NET DELAY 0.000 0.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.000 1.000 3 Clk_c NET DELAY 0.334 1.334 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 1.334 13 clk2 NET DELAY 0.642 1.976 13 {CNT2_e3_e3_e3_20__i1.ff_inst/CLK CNT2_e3_e3_e3_20__i2.ff_inst/CLK} CLOCK PIN 0.000 1.976 1 Data Path { "path_begin": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i2.ff_inst/Q", "phy_name":"SLICE_2/Q1" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i7.ff_inst/DF", "phy_name":"SLICE_4/DI0" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20__i2.ff_inst/CLK", "phy_name":"SLICE_2/CLK" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20__i2.ff_inst/Q", "phy_name":"SLICE_2/Q1" }, "arrive":2.150, "delay":0.174 }, { "type":"net_delay", "net": { "log_name":"CNT2[2]", "phy_name":"CNT2[2]" }, "arrive":2.216, "delay":0.066 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_3/B1", "phy_name":"SLICE_2/B1" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_3/COUT", "phy_name":"SLICE_2/FCO" }, "arrive":2.368, "delay":0.152 }, { "type":"net_delay", "net": { "log_name":"n135", "phy_name":"n135" }, "arrive":2.368, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_5/CIN", "phy_name":"SLICE_0/FCI" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_5/COUT", "phy_name":"SLICE_0/FCO" }, "arrive":2.398, "delay":0.034 }, { "type":"net_delay", "net": { "log_name":"n136", "phy_name":"n136" }, "arrive":2.398, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_7/CIN", "phy_name":"SLICE_3/FCI" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_7/COUT", "phy_name":"SLICE_3/FCO" }, "arrive":2.428, "delay":0.034 }, { "type":"net_delay", "net": { "log_name":"n137", "phy_name":"n137" }, "arrive":2.428, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_9/CIN", "phy_name":"SLICE_4/FCI" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_9/S0", "phy_name":"SLICE_4/F0" }, "arrive":2.529, "delay":0.103 }, { "type":"net_delay", "net": { "log_name":"CNT2_7__N_3[7]", "phy_name":"CNT2_7__N_3[7]" }, "arrive":2.529, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.529, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- ------ --------------------- ------ CNT2_e3_e3_e3_20__i2.ff_inst/CLK->CNT2_e3_e3_e3_20__i2.ff_inst/Q SLICE_R3C67B REG_DEL 0.174 2.150 2 CNT2[2] NET DELAY 0.066 2.216 2 CNT2_e3_e3_e3_20_add_4_3/B1->CNT2_e3_e3_e3_20_add_4_3/COUT SLICE_R3C67B C1TOFCO_DEL 0.152 2.368 1 n135 NET DELAY 0.000 2.368 1 CNT2_e3_e3_e3_20_add_4_5/CIN->CNT2_e3_e3_e3_20_add_4_5/COUT SLICE_R3C67C FCITOFCO_DEL 0.034 2.398 1 n136 NET DELAY 0.000 2.398 1 CNT2_e3_e3_e3_20_add_4_7/CIN->CNT2_e3_e3_e3_20_add_4_7/COUT SLICE_R3C67D FCITOFCO_DEL 0.034 2.428 1 n137 NET DELAY 0.000 2.428 1 CNT2_e3_e3_e3_20_add_4_9/CIN->CNT2_e3_e3_e3_20_add_4_9/S0 SLICE_R3C68A FCITOF0_DEL 0.103 2.529 1 CNT2_7__N_3[7] NET DELAY 0.000 2.529 1 CNT2_e3_e3_e3_20__i7.ff_inst/DF ENDPOINT 0.000 2.529 1 Destination Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i7.ff_inst/CLK", "phy_name":"SLICE_4/CLK" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":0.000, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":0.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":1.000, "delay":1.000 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":1.340, "delay":0.340 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":1.340, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":2.004, "delay":0.664 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.004, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- ------ --------------------- ------ CONSTRAINT 0.000 0.000 1 Clk top CLOCK LATENCY 0.000 0.000 1 Clk NET DELAY 0.000 0.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.000 1.000 3 Clk_c NET DELAY 0.340 1.340 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 1.340 13 clk2 NET DELAY 0.664 2.004 13 CNT2_e3_e3_e3_20__i7.ff_inst/CLK CLOCK PIN 0.000 2.004 1 Uncertainty 0.000 2.004 Common Path Skew -0.026 1.978 Hold time 0.114 2.092 ---------------------------------------- -------------- ---------------- ------ --------------------- ------ Required Time -2.092 Arrival Time 2.531 ---------------------------------------- -------------- ---------------- ------ --------------------- ------ Path Slack (Passed) 0.439 ++++ Path 26 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Path Begin : CNT2_e3_e3_e3_20__i0.ff_inst/Q (SLICE_R3C67A) Path End : CNT2_e3_e3_e3_20__i5.ff_inst/DF (SLICE_R3C67D) Source Clock : CLK2 (R) Destination Clock: CLK2 (R) Logic Level : 5 Delay Ratio : 11.7% (route), 88.3% (logic) Clock Skew : 0.028 ns Hold Constraint : 0.000 ns Common Path Skew : -0.026 ns Path Slack : 0.439 ns (Passed) Source Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i0.ff_inst/CLK", "phy_name":"SLICE_1/CLK" }, "path_sections":[ { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":0.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":1.000, "delay":1.000 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":1.334, "delay":0.334 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":1.334, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":1.976, "delay":0.642 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":1.976, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- ------ --------------------- ------ Clk top CLOCK LATENCY 0.000 0.000 1 Clk NET DELAY 0.000 0.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.000 1.000 3 Clk_c NET DELAY 0.334 1.334 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 1.334 13 clk2 NET DELAY 0.642 1.976 13 CNT2_e3_e3_e3_20__i0.ff_inst/CLK CLOCK PIN 0.000 1.976 1 Data Path { "path_begin": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i0.ff_inst/Q", "phy_name":"SLICE_1/Q1" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i5.ff_inst/DF", "phy_name":"SLICE_3/DI0" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20__i0.ff_inst/CLK", "phy_name":"SLICE_1/CLK" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20__i0.ff_inst/Q", "phy_name":"SLICE_1/Q1" }, "arrive":2.150, "delay":0.174 }, { "type":"net_delay", "net": { "log_name":"CNT2[0]", "phy_name":"CNT2[0]" }, "arrive":2.216, "delay":0.066 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_cin/B1", "phy_name":"SLICE_1/B1" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_cin/COUT", "phy_name":"SLICE_1/FCO" }, "arrive":2.368, "delay":0.152 }, { "type":"net_delay", "net": { "log_name":"n134", "phy_name":"n134" }, "arrive":2.368, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_3/CIN", "phy_name":"SLICE_2/FCI" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_3/COUT", "phy_name":"SLICE_2/FCO" }, "arrive":2.398, "delay":0.034 }, { "type":"net_delay", "net": { "log_name":"n135", "phy_name":"n135" }, "arrive":2.398, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_5/CIN", "phy_name":"SLICE_0/FCI" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_5/COUT", "phy_name":"SLICE_0/FCO" }, "arrive":2.426, "delay":0.034 }, { "type":"net_delay", "net": { "log_name":"n136", "phy_name":"n136" }, "arrive":2.426, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_7/CIN", "phy_name":"SLICE_3/FCI" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_7/S0", "phy_name":"SLICE_3/F0" }, "arrive":2.529, "delay":0.103 }, { "type":"net_delay", "net": { "log_name":"CNT2_7__N_3[5]", "phy_name":"CNT2_7__N_3[5]" }, "arrive":2.529, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.529, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- ------ --------------------- ------ CNT2_e3_e3_e3_20__i0.ff_inst/CLK->CNT2_e3_e3_e3_20__i0.ff_inst/Q SLICE_R3C67A REG_DEL 0.174 2.150 2 CNT2[0] NET DELAY 0.066 2.216 2 CNT2_e3_e3_e3_20_add_4_cin/B1->CNT2_e3_e3_e3_20_add_4_cin/COUT SLICE_R3C67A C1TOFCO_DEL 0.152 2.368 1 n134 NET DELAY 0.000 2.368 1 CNT2_e3_e3_e3_20_add_4_3/CIN->CNT2_e3_e3_e3_20_add_4_3/COUT SLICE_R3C67B FCITOFCO_DEL 0.034 2.398 1 n135 NET DELAY 0.000 2.398 1 CNT2_e3_e3_e3_20_add_4_5/CIN->CNT2_e3_e3_e3_20_add_4_5/COUT SLICE_R3C67C FCITOFCO_DEL 0.034 2.426 1 n136 NET DELAY 0.000 2.426 1 CNT2_e3_e3_e3_20_add_4_7/CIN->CNT2_e3_e3_e3_20_add_4_7/S0 SLICE_R3C67D FCITOF0_DEL 0.103 2.529 1 CNT2_7__N_3[5] NET DELAY 0.000 2.529 1 CNT2_e3_e3_e3_20__i5.ff_inst/DF ENDPOINT 0.000 2.529 1 Destination Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i5.ff_inst/CLK", "phy_name":"SLICE_3/CLK" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":0.000, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":0.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":1.000, "delay":1.000 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":1.340, "delay":0.340 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":1.340, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":2.004, "delay":0.664 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.004, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- ------ --------------------- ------ CONSTRAINT 0.000 0.000 1 Clk top CLOCK LATENCY 0.000 0.000 1 Clk NET DELAY 0.000 0.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.000 1.000 3 Clk_c NET DELAY 0.340 1.340 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 1.340 13 clk2 NET DELAY 0.664 2.004 13 {CNT2_e3_e3_e3_20__i5.ff_inst/CLK CNT2_e3_e3_e3_20__i6.ff_inst/CLK} CLOCK PIN 0.000 2.004 1 Uncertainty 0.000 2.004 Common Path Skew -0.026 1.978 Hold time 0.114 2.092 ---------------------------------------- -------------- ---------------- ------ --------------------- ------ Required Time -2.092 Arrival Time 2.531 ---------------------------------------- -------------- ---------------- ------ --------------------- ------ Path Slack (Passed) 0.439 ++++ Path 27 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Path Begin : CNT2_e3_e3_e3_20__i6.ff_inst/Q (SLICE_R3C67D) Path End : CNT2_e3_e3_e3_20__i7.ff_inst/DF (SLICE_R3C68A) Source Clock : CLK2 (R) Destination Clock: CLK2 (R) Logic Level : 3 Delay Ratio : 23.3% (route), 76.7% (logic) Clock Skew : 0.028 ns Hold Constraint : 0.000 ns Common Path Skew : -0.026 ns Path Slack : 0.443 ns (Passed) Source Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i5.ff_inst/CLK", "phy_name":"SLICE_3/CLK" }, "path_sections":[ { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":0.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":1.000, "delay":1.000 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":1.334, "delay":0.334 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":1.334, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":1.976, "delay":0.642 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":1.976, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- ------ --------------------- ------ Clk top CLOCK LATENCY 0.000 0.000 1 Clk NET DELAY 0.000 0.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.000 1.000 3 Clk_c NET DELAY 0.334 1.334 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 1.334 13 clk2 NET DELAY 0.642 1.976 13 {CNT2_e3_e3_e3_20__i5.ff_inst/CLK CNT2_e3_e3_e3_20__i6.ff_inst/CLK} CLOCK PIN 0.000 1.976 1 Data Path { "path_begin": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i6.ff_inst/Q", "phy_name":"SLICE_3/Q1" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i7.ff_inst/DF", "phy_name":"SLICE_4/DI0" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20__i6.ff_inst/CLK", "phy_name":"SLICE_3/CLK" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20__i6.ff_inst/Q", "phy_name":"SLICE_3/Q1" }, "arrive":2.150, "delay":0.174 }, { "type":"net_delay", "net": { "log_name":"CNT2[6]", "phy_name":"CNT2[6]" }, "arrive":2.280, "delay":0.130 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_7/B1", "phy_name":"SLICE_3/B1" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_7/COUT", "phy_name":"SLICE_3/FCO" }, "arrive":2.432, "delay":0.152 }, { "type":"net_delay", "net": { "log_name":"n137", "phy_name":"n137" }, "arrive":2.432, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_9/CIN", "phy_name":"SLICE_4/FCI" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_9/S0", "phy_name":"SLICE_4/F0" }, "arrive":2.533, "delay":0.103 }, { "type":"net_delay", "net": { "log_name":"CNT2_7__N_3[7]", "phy_name":"CNT2_7__N_3[7]" }, "arrive":2.533, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.533, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- ------ --------------------- ------ CNT2_e3_e3_e3_20__i6.ff_inst/CLK->CNT2_e3_e3_e3_20__i6.ff_inst/Q SLICE_R3C67D REG_DEL 0.174 2.150 2 CNT2[6] NET DELAY 0.130 2.280 2 CNT2_e3_e3_e3_20_add_4_7/B1->CNT2_e3_e3_e3_20_add_4_7/COUT SLICE_R3C67D C1TOFCO_DEL 0.152 2.432 1 n137 NET DELAY 0.000 2.432 1 CNT2_e3_e3_e3_20_add_4_9/CIN->CNT2_e3_e3_e3_20_add_4_9/S0 SLICE_R3C68A FCITOF0_DEL 0.103 2.533 1 CNT2_7__N_3[7] NET DELAY 0.000 2.533 1 CNT2_e3_e3_e3_20__i7.ff_inst/DF ENDPOINT 0.000 2.533 1 Destination Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i7.ff_inst/CLK", "phy_name":"SLICE_4/CLK" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":0.000, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":0.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":1.000, "delay":1.000 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":1.340, "delay":0.340 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":1.340, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":2.004, "delay":0.664 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.004, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- ------ --------------------- ------ CONSTRAINT 0.000 0.000 1 Clk top CLOCK LATENCY 0.000 0.000 1 Clk NET DELAY 0.000 0.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.000 1.000 3 Clk_c NET DELAY 0.340 1.340 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 1.340 13 clk2 NET DELAY 0.664 2.004 13 CNT2_e3_e3_e3_20__i7.ff_inst/CLK CLOCK PIN 0.000 2.004 1 Uncertainty 0.000 2.004 Common Path Skew -0.026 1.978 Hold time 0.114 2.092 ---------------------------------------- -------------- ---------------- ------ --------------------- ------ Required Time -2.092 Arrival Time 2.535 ---------------------------------------- -------------- ---------------- ------ --------------------- ------ Path Slack (Passed) 0.443 ++++ Path 28 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Path Begin : CNT2_e3_e3_e3_20__i1.ff_inst/Q (SLICE_R3C67B) Path End : CNT2_e3_e3_e3_20__i3.ff_inst/DF (SLICE_R3C67C) Source Clock : CLK2 (R) Destination Clock: CLK2 (R) Logic Level : 3 Delay Ratio : 23.6% (route), 76.4% (logic) Clock Skew : 0.028 ns Hold Constraint : 0.000 ns Common Path Skew : -0.026 ns Path Slack : 0.444 ns (Passed) Source Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i1.ff_inst/CLK", "phy_name":"SLICE_2/CLK" }, "path_sections":[ { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":0.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":1.000, "delay":1.000 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":1.334, "delay":0.334 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":1.334, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":1.976, "delay":0.642 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":1.976, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- ------ --------------------- ------ Clk top CLOCK LATENCY 0.000 0.000 1 Clk NET DELAY 0.000 0.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.000 1.000 3 Clk_c NET DELAY 0.334 1.334 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 1.334 13 clk2 NET DELAY 0.642 1.976 13 {CNT2_e3_e3_e3_20__i1.ff_inst/CLK CNT2_e3_e3_e3_20__i2.ff_inst/CLK} CLOCK PIN 0.000 1.976 1 Data Path { "path_begin": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i1.ff_inst/Q", "phy_name":"SLICE_2/Q0" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i3.ff_inst/DF", "phy_name":"SLICE_0/DI0" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20__i1.ff_inst/CLK", "phy_name":"SLICE_2/CLK" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20__i1.ff_inst/Q", "phy_name":"SLICE_2/Q0" }, "arrive":2.149, "delay":0.173 }, { "type":"net_delay", "net": { "log_name":"CNT2[1]", "phy_name":"CNT2[1]" }, "arrive":2.281, "delay":0.132 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_3/B0", "phy_name":"SLICE_2/B0" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_3/COUT", "phy_name":"SLICE_2/FCO" }, "arrive":2.433, "delay":0.152 }, { "type":"net_delay", "net": { "log_name":"n135", "phy_name":"n135" }, "arrive":2.433, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_5/CIN", "phy_name":"SLICE_0/FCI" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_5/S0", "phy_name":"SLICE_0/F0" }, "arrive":2.534, "delay":0.103 }, { "type":"net_delay", "net": { "log_name":"CNT2_7__N_3[3]", "phy_name":"CNT2_7__N_3[3]" }, "arrive":2.534, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.534, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- ------ --------------------- ------ CNT2_e3_e3_e3_20__i1.ff_inst/CLK->CNT2_e3_e3_e3_20__i1.ff_inst/Q SLICE_R3C67B REG_DEL 0.173 2.149 2 CNT2[1] NET DELAY 0.132 2.281 2 CNT2_e3_e3_e3_20_add_4_3/B0->CNT2_e3_e3_e3_20_add_4_3/COUT SLICE_R3C67B C0TOFCO_DEL 0.152 2.433 1 n135 NET DELAY 0.000 2.433 1 CNT2_e3_e3_e3_20_add_4_5/CIN->CNT2_e3_e3_e3_20_add_4_5/S0 SLICE_R3C67C FCITOF0_DEL 0.103 2.534 1 CNT2_7__N_3[3] NET DELAY 0.000 2.534 1 CNT2_e3_e3_e3_20__i3.ff_inst/DF ENDPOINT 0.000 2.534 1 Destination Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i3.ff_inst/CLK", "phy_name":"SLICE_0/CLK" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":0.000, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":0.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":1.000, "delay":1.000 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":1.340, "delay":0.340 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":1.340, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":2.004, "delay":0.664 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.004, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- ------ --------------------- ------ CONSTRAINT 0.000 0.000 1 Clk top CLOCK LATENCY 0.000 0.000 1 Clk NET DELAY 0.000 0.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.000 1.000 3 Clk_c NET DELAY 0.340 1.340 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 1.340 13 clk2 NET DELAY 0.664 2.004 13 {CNT2_e3_e3_e3_20__i3.ff_inst/CLK CNT2_e3_e3_e3_20__i4.ff_inst/CLK} CLOCK PIN 0.000 2.004 1 Uncertainty 0.000 2.004 Common Path Skew -0.026 1.978 Hold time 0.114 2.092 ---------------------------------------- -------------- ---------------- ------ --------------------- ------ Required Time -2.092 Arrival Time 2.536 ---------------------------------------- -------------- ---------------- ------ --------------------- ------ Path Slack (Passed) 0.444 ++++ Path 29 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Path Begin : CNT2_e3_e3_e3_20__i2.ff_inst/Q (SLICE_R3C67B) Path End : CNT2_e3_e3_e3_20__i6.ff_inst/DF (SLICE_R3C67D) Source Clock : CLK2 (R) Destination Clock: CLK2 (R) Logic Level : 4 Delay Ratio : 11.6% (route), 88.4% (logic) Clock Skew : 0.028 ns Hold Constraint : 0.000 ns Common Path Skew : -0.026 ns Path Slack : 0.449 ns (Passed) Source Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i1.ff_inst/CLK", "phy_name":"SLICE_2/CLK" }, "path_sections":[ { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":0.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":1.000, "delay":1.000 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":1.334, "delay":0.334 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":1.334, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":1.976, "delay":0.642 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":1.976, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- ------ --------------------- ------ Clk top CLOCK LATENCY 0.000 0.000 1 Clk NET DELAY 0.000 0.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.000 1.000 3 Clk_c NET DELAY 0.334 1.334 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 1.334 13 clk2 NET DELAY 0.642 1.976 13 {CNT2_e3_e3_e3_20__i1.ff_inst/CLK CNT2_e3_e3_e3_20__i2.ff_inst/CLK} CLOCK PIN 0.000 1.976 1 Data Path { "path_begin": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i2.ff_inst/Q", "phy_name":"SLICE_2/Q1" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i6.ff_inst/DF", "phy_name":"SLICE_3/DI1" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20__i2.ff_inst/CLK", "phy_name":"SLICE_2/CLK" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20__i2.ff_inst/Q", "phy_name":"SLICE_2/Q1" }, "arrive":2.150, "delay":0.174 }, { "type":"net_delay", "net": { "log_name":"CNT2[2]", "phy_name":"CNT2[2]" }, "arrive":2.216, "delay":0.066 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_3/B1", "phy_name":"SLICE_2/B1" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_3/COUT", "phy_name":"SLICE_2/FCO" }, "arrive":2.368, "delay":0.152 }, { "type":"net_delay", "net": { "log_name":"n135", "phy_name":"n135" }, "arrive":2.368, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_5/CIN", "phy_name":"SLICE_0/FCI" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_5/COUT", "phy_name":"SLICE_0/FCO" }, "arrive":2.396, "delay":0.034 }, { "type":"net_delay", "net": { "log_name":"n136", "phy_name":"n136" }, "arrive":2.396, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_7/CIN", "phy_name":"SLICE_3/FCI" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_7/S1", "phy_name":"SLICE_3/F1" }, "arrive":2.539, "delay":0.143 }, { "type":"net_delay", "net": { "log_name":"CNT2_7__N_3[6]", "phy_name":"CNT2_7__N_3[6]" }, "arrive":2.539, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.539, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- ------ --------------------- ------ CNT2_e3_e3_e3_20__i2.ff_inst/CLK->CNT2_e3_e3_e3_20__i2.ff_inst/Q SLICE_R3C67B REG_DEL 0.174 2.150 2 CNT2[2] NET DELAY 0.066 2.216 2 CNT2_e3_e3_e3_20_add_4_3/B1->CNT2_e3_e3_e3_20_add_4_3/COUT SLICE_R3C67B C1TOFCO_DEL 0.152 2.368 1 n135 NET DELAY 0.000 2.368 1 CNT2_e3_e3_e3_20_add_4_5/CIN->CNT2_e3_e3_e3_20_add_4_5/COUT SLICE_R3C67C FCITOFCO_DEL 0.034 2.396 1 n136 NET DELAY 0.000 2.396 1 CNT2_e3_e3_e3_20_add_4_7/CIN->CNT2_e3_e3_e3_20_add_4_7/S1 SLICE_R3C67D FCITOF1_DEL 0.143 2.539 1 CNT2_7__N_3[6] NET DELAY 0.000 2.539 1 CNT2_e3_e3_e3_20__i6.ff_inst/DF ENDPOINT 0.000 2.539 1 Destination Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i5.ff_inst/CLK", "phy_name":"SLICE_3/CLK" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":0.000, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":0.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":1.000, "delay":1.000 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":1.340, "delay":0.340 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":1.340, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":2.004, "delay":0.664 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.004, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- ------ --------------------- ------ CONSTRAINT 0.000 0.000 1 Clk top CLOCK LATENCY 0.000 0.000 1 Clk NET DELAY 0.000 0.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.000 1.000 3 Clk_c NET DELAY 0.340 1.340 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 1.340 13 clk2 NET DELAY 0.664 2.004 13 {CNT2_e3_e3_e3_20__i5.ff_inst/CLK CNT2_e3_e3_e3_20__i6.ff_inst/CLK} CLOCK PIN 0.000 2.004 1 Uncertainty 0.000 2.004 Common Path Skew -0.026 1.978 Hold time 0.114 2.092 ---------------------------------------- -------------- ---------------- ------ --------------------- ------ Required Time -2.092 Arrival Time 2.541 ---------------------------------------- -------------- ---------------- ------ --------------------- ------ Path Slack (Passed) 0.449 ++++ Path 30 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Path Begin : CNT2_e3_e3_e3_20__i0.ff_inst/Q (SLICE_R3C67A) Path End : CNT2_e3_e3_e3_20__i4.ff_inst/DF (SLICE_R3C67C) Source Clock : CLK2 (R) Destination Clock: CLK2 (R) Logic Level : 4 Delay Ratio : 11.6% (route), 88.4% (logic) Clock Skew : 0.028 ns Hold Constraint : 0.000 ns Common Path Skew : -0.026 ns Path Slack : 0.449 ns (Passed) Source Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i0.ff_inst/CLK", "phy_name":"SLICE_1/CLK" }, "path_sections":[ { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":0.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":1.000, "delay":1.000 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":1.334, "delay":0.334 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":1.334, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":1.976, "delay":0.642 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":1.976, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- ------ --------------------- ------ Clk top CLOCK LATENCY 0.000 0.000 1 Clk NET DELAY 0.000 0.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.000 1.000 3 Clk_c NET DELAY 0.334 1.334 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 1.334 13 clk2 NET DELAY 0.642 1.976 13 CNT2_e3_e3_e3_20__i0.ff_inst/CLK CLOCK PIN 0.000 1.976 1 Data Path { "path_begin": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i0.ff_inst/Q", "phy_name":"SLICE_1/Q1" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i4.ff_inst/DF", "phy_name":"SLICE_0/DI1" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20__i0.ff_inst/CLK", "phy_name":"SLICE_1/CLK" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20__i0.ff_inst/Q", "phy_name":"SLICE_1/Q1" }, "arrive":2.150, "delay":0.174 }, { "type":"net_delay", "net": { "log_name":"CNT2[0]", "phy_name":"CNT2[0]" }, "arrive":2.216, "delay":0.066 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_cin/B1", "phy_name":"SLICE_1/B1" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_cin/COUT", "phy_name":"SLICE_1/FCO" }, "arrive":2.368, "delay":0.152 }, { "type":"net_delay", "net": { "log_name":"n134", "phy_name":"n134" }, "arrive":2.368, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_3/CIN", "phy_name":"SLICE_2/FCI" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_3/COUT", "phy_name":"SLICE_2/FCO" }, "arrive":2.398, "delay":0.034 }, { "type":"net_delay", "net": { "log_name":"n135", "phy_name":"n135" }, "arrive":2.398, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_5/CIN", "phy_name":"SLICE_0/FCI" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_5/S1", "phy_name":"SLICE_0/F1" }, "arrive":2.539, "delay":0.143 }, { "type":"net_delay", "net": { "log_name":"CNT2_7__N_3[4]", "phy_name":"CNT2_7__N_3[4]" }, "arrive":2.539, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.539, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- ------ --------------------- ------ CNT2_e3_e3_e3_20__i0.ff_inst/CLK->CNT2_e3_e3_e3_20__i0.ff_inst/Q SLICE_R3C67A REG_DEL 0.174 2.150 2 CNT2[0] NET DELAY 0.066 2.216 2 CNT2_e3_e3_e3_20_add_4_cin/B1->CNT2_e3_e3_e3_20_add_4_cin/COUT SLICE_R3C67A C1TOFCO_DEL 0.152 2.368 1 n134 NET DELAY 0.000 2.368 1 CNT2_e3_e3_e3_20_add_4_3/CIN->CNT2_e3_e3_e3_20_add_4_3/COUT SLICE_R3C67B FCITOFCO_DEL 0.034 2.398 1 n135 NET DELAY 0.000 2.398 1 CNT2_e3_e3_e3_20_add_4_5/CIN->CNT2_e3_e3_e3_20_add_4_5/S1 SLICE_R3C67C FCITOF1_DEL 0.143 2.539 1 CNT2_7__N_3[4] NET DELAY 0.000 2.539 1 CNT2_e3_e3_e3_20__i4.ff_inst/DF ENDPOINT 0.000 2.539 1 Destination Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i3.ff_inst/CLK", "phy_name":"SLICE_0/CLK" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":0.000, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":0.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":1.000, "delay":1.000 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":1.340, "delay":0.340 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":1.340, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":2.004, "delay":0.664 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.004, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- ------ --------------------- ------ CONSTRAINT 0.000 0.000 1 Clk top CLOCK LATENCY 0.000 0.000 1 Clk NET DELAY 0.000 0.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.000 1.000 3 Clk_c NET DELAY 0.340 1.340 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 1.340 13 clk2 NET DELAY 0.664 2.004 13 {CNT2_e3_e3_e3_20__i3.ff_inst/CLK CNT2_e3_e3_e3_20__i4.ff_inst/CLK} CLOCK PIN 0.000 2.004 1 Uncertainty 0.000 2.004 Common Path Skew -0.026 1.978 Hold time 0.114 2.092 ---------------------------------------- -------------- ---------------- ------ --------------------- ------ Required Time -2.092 Arrival Time 2.541 ---------------------------------------- -------------- ---------------- ------ --------------------- ------ Path Slack (Passed) 0.449 ++++ Path 31 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Path Begin : CNT2_e3_e3_e3_20__i1.ff_inst/Q (SLICE_R3C67B) Path End : CNT2_e3_e3_e3_20__i2.ff_inst/DF (SLICE_R3C67B) Source Clock : CLK2 (R) Destination Clock: CLK2 (R) Logic Level : 2 Delay Ratio : 23.3% (route), 76.7% (logic) Clock Skew : 0.028 ns Hold Constraint : 0.000 ns Common Path Skew : -0.028 ns Path Slack : 0.452 ns (Passed) Source Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i1.ff_inst/CLK", "phy_name":"SLICE_2/CLK" }, "path_sections":[ { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":0.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":1.000, "delay":1.000 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":1.334, "delay":0.334 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":1.334, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":1.976, "delay":0.642 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":1.976, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- ------ --------------------- ------ Clk top CLOCK LATENCY 0.000 0.000 1 Clk NET DELAY 0.000 0.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.000 1.000 3 Clk_c NET DELAY 0.334 1.334 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 1.334 13 clk2 NET DELAY 0.642 1.976 13 {CNT2_e3_e3_e3_20__i1.ff_inst/CLK CNT2_e3_e3_e3_20__i2.ff_inst/CLK} CLOCK PIN 0.000 1.976 1 Data Path { "path_begin": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i1.ff_inst/Q", "phy_name":"SLICE_2/Q0" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i2.ff_inst/DF", "phy_name":"SLICE_2/DI1" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20__i1.ff_inst/CLK", "phy_name":"SLICE_2/CLK" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20__i1.ff_inst/Q", "phy_name":"SLICE_2/Q0" }, "arrive":2.149, "delay":0.173 }, { "type":"net_delay", "net": { "log_name":"CNT2[1]", "phy_name":"CNT2[1]" }, "arrive":2.281, "delay":0.132 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_3/B0", "phy_name":"SLICE_2/B0" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_3/S1", "phy_name":"SLICE_2/F1" }, "arrive":2.542, "delay":0.261 }, { "type":"net_delay", "net": { "log_name":"CNT2_7__N_3[2]", "phy_name":"CNT2_7__N_3[2]" }, "arrive":2.542, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.542, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- ------ --------------------- ------ CNT2_e3_e3_e3_20__i1.ff_inst/CLK->CNT2_e3_e3_e3_20__i1.ff_inst/Q SLICE_R3C67B REG_DEL 0.173 2.149 2 CNT2[1] NET DELAY 0.132 2.281 2 CNT2_e3_e3_e3_20_add_4_3/B0->CNT2_e3_e3_e3_20_add_4_3/S1 SLICE_R3C67B CTOF_DEL 0.261 2.542 1 CNT2_7__N_3[2] NET DELAY 0.000 2.542 1 CNT2_e3_e3_e3_20__i2.ff_inst/DF ENDPOINT 0.000 2.542 1 Destination Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i1.ff_inst/CLK", "phy_name":"SLICE_2/CLK" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":0.000, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":0.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":1.000, "delay":1.000 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":1.340, "delay":0.340 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":1.340, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":2.004, "delay":0.664 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.004, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- ------ --------------------- ------ CONSTRAINT 0.000 0.000 1 Clk top CLOCK LATENCY 0.000 0.000 1 Clk NET DELAY 0.000 0.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.000 1.000 3 Clk_c NET DELAY 0.340 1.340 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 1.340 13 clk2 NET DELAY 0.664 2.004 13 {CNT2_e3_e3_e3_20__i1.ff_inst/CLK CNT2_e3_e3_e3_20__i2.ff_inst/CLK} CLOCK PIN 0.000 2.004 1 Uncertainty 0.000 2.004 Common Path Skew -0.028 1.976 Hold time 0.114 2.090 ---------------------------------------- -------------- ---------------- ------ --------------------- ------ Required Time -2.090 Arrival Time 2.542 ---------------------------------------- -------------- ---------------- ------ --------------------- ------ Path Slack (Passed) 0.452 ++++ Path 32 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Path Begin : CNT2_e3_e3_e3_20__i4.ff_inst/Q (SLICE_R3C67C) Path End : CNT2_e3_e3_e3_20__i7.ff_inst/DF (SLICE_R3C68A) Source Clock : CLK2 (R) Destination Clock: CLK2 (R) Logic Level : 4 Delay Ratio : 19.9% (route), 80.1% (logic) Clock Skew : 0.028 ns Hold Constraint : 0.000 ns Common Path Skew : -0.026 ns Path Slack : 0.458 ns (Passed) Source Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i3.ff_inst/CLK", "phy_name":"SLICE_0/CLK" }, "path_sections":[ { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":0.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":1.000, "delay":1.000 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":1.334, "delay":0.334 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":1.334, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":1.976, "delay":0.642 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":1.976, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- ------ --------------------- ------ Clk top CLOCK LATENCY 0.000 0.000 1 Clk NET DELAY 0.000 0.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.000 1.000 3 Clk_c NET DELAY 0.334 1.334 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 1.334 13 clk2 NET DELAY 0.642 1.976 13 {CNT2_e3_e3_e3_20__i3.ff_inst/CLK CNT2_e3_e3_e3_20__i4.ff_inst/CLK} CLOCK PIN 0.000 1.976 1 Data Path { "path_begin": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i4.ff_inst/Q", "phy_name":"SLICE_0/Q1" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i7.ff_inst/DF", "phy_name":"SLICE_4/DI0" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20__i4.ff_inst/CLK", "phy_name":"SLICE_0/CLK" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20__i4.ff_inst/Q", "phy_name":"SLICE_0/Q1" }, "arrive":2.150, "delay":0.174 }, { "type":"net_delay", "net": { "log_name":"CNT2[4]", "phy_name":"CNT2[4]" }, "arrive":2.265, "delay":0.115 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_5/B1", "phy_name":"SLICE_0/B1" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_5/COUT", "phy_name":"SLICE_0/FCO" }, "arrive":2.417, "delay":0.152 }, { "type":"net_delay", "net": { "log_name":"n136", "phy_name":"n136" }, "arrive":2.417, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_7/CIN", "phy_name":"SLICE_3/FCI" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_7/COUT", "phy_name":"SLICE_3/FCO" }, "arrive":2.447, "delay":0.034 }, { "type":"net_delay", "net": { "log_name":"n137", "phy_name":"n137" }, "arrive":2.447, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_9/CIN", "phy_name":"SLICE_4/FCI" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_9/S0", "phy_name":"SLICE_4/F0" }, "arrive":2.548, "delay":0.103 }, { "type":"net_delay", "net": { "log_name":"CNT2_7__N_3[7]", "phy_name":"CNT2_7__N_3[7]" }, "arrive":2.548, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.548, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- ------ --------------------- ------ CNT2_e3_e3_e3_20__i4.ff_inst/CLK->CNT2_e3_e3_e3_20__i4.ff_inst/Q SLICE_R3C67C REG_DEL 0.174 2.150 2 CNT2[4] NET DELAY 0.115 2.265 2 CNT2_e3_e3_e3_20_add_4_5/B1->CNT2_e3_e3_e3_20_add_4_5/COUT SLICE_R3C67C C1TOFCO_DEL 0.152 2.417 1 n136 NET DELAY 0.000 2.417 1 CNT2_e3_e3_e3_20_add_4_7/CIN->CNT2_e3_e3_e3_20_add_4_7/COUT SLICE_R3C67D FCITOFCO_DEL 0.034 2.447 1 n137 NET DELAY 0.000 2.447 1 CNT2_e3_e3_e3_20_add_4_9/CIN->CNT2_e3_e3_e3_20_add_4_9/S0 SLICE_R3C68A FCITOF0_DEL 0.103 2.548 1 CNT2_7__N_3[7] NET DELAY 0.000 2.548 1 CNT2_e3_e3_e3_20__i7.ff_inst/DF ENDPOINT 0.000 2.548 1 Destination Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i7.ff_inst/CLK", "phy_name":"SLICE_4/CLK" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":0.000, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":0.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":1.000, "delay":1.000 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":1.340, "delay":0.340 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":1.340, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":2.004, "delay":0.664 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.004, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- ------ --------------------- ------ CONSTRAINT 0.000 0.000 1 Clk top CLOCK LATENCY 0.000 0.000 1 Clk NET DELAY 0.000 0.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.000 1.000 3 Clk_c NET DELAY 0.340 1.340 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 1.340 13 clk2 NET DELAY 0.664 2.004 13 CNT2_e3_e3_e3_20__i7.ff_inst/CLK CLOCK PIN 0.000 2.004 1 Uncertainty 0.000 2.004 Common Path Skew -0.026 1.978 Hold time 0.114 2.092 ---------------------------------------- -------------- ---------------- ------ --------------------- ------ Required Time -2.092 Arrival Time 2.550 ---------------------------------------- -------------- ---------------- ------ --------------------- ------ Path Slack (Passed) 0.458 ++++ Path 33 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Path Begin : CNT2_e3_e3_e3_20__i0.ff_inst/Q (SLICE_R3C67A) Path End : CNT2_e3_e3_e3_20__i7.ff_inst/DF (SLICE_R3C68A) Source Clock : CLK2 (R) Destination Clock: CLK2 (R) Logic Level : 6 Delay Ratio : 11.1% (route), 88.9% (logic) Clock Skew : 0.028 ns Hold Constraint : 0.000 ns Common Path Skew : -0.026 ns Path Slack : 0.469 ns (Passed) Source Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i0.ff_inst/CLK", "phy_name":"SLICE_1/CLK" }, "path_sections":[ { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":0.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":1.000, "delay":1.000 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":1.334, "delay":0.334 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":1.334, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":1.976, "delay":0.642 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":1.976, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- ------ --------------------- ------ Clk top CLOCK LATENCY 0.000 0.000 1 Clk NET DELAY 0.000 0.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.000 1.000 3 Clk_c NET DELAY 0.334 1.334 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 1.334 13 clk2 NET DELAY 0.642 1.976 13 CNT2_e3_e3_e3_20__i0.ff_inst/CLK CLOCK PIN 0.000 1.976 1 Data Path { "path_begin": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i0.ff_inst/Q", "phy_name":"SLICE_1/Q1" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i7.ff_inst/DF", "phy_name":"SLICE_4/DI0" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20__i0.ff_inst/CLK", "phy_name":"SLICE_1/CLK" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20__i0.ff_inst/Q", "phy_name":"SLICE_1/Q1" }, "arrive":2.150, "delay":0.174 }, { "type":"net_delay", "net": { "log_name":"CNT2[0]", "phy_name":"CNT2[0]" }, "arrive":2.216, "delay":0.066 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_cin/B1", "phy_name":"SLICE_1/B1" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_cin/COUT", "phy_name":"SLICE_1/FCO" }, "arrive":2.368, "delay":0.152 }, { "type":"net_delay", "net": { "log_name":"n134", "phy_name":"n134" }, "arrive":2.368, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_3/CIN", "phy_name":"SLICE_2/FCI" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_3/COUT", "phy_name":"SLICE_2/FCO" }, "arrive":2.398, "delay":0.034 }, { "type":"net_delay", "net": { "log_name":"n135", "phy_name":"n135" }, "arrive":2.398, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_5/CIN", "phy_name":"SLICE_0/FCI" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_5/COUT", "phy_name":"SLICE_0/FCO" }, "arrive":2.428, "delay":0.034 }, { "type":"net_delay", "net": { "log_name":"n136", "phy_name":"n136" }, "arrive":2.428, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_7/CIN", "phy_name":"SLICE_3/FCI" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_7/COUT", "phy_name":"SLICE_3/FCO" }, "arrive":2.458, "delay":0.034 }, { "type":"net_delay", "net": { "log_name":"n137", "phy_name":"n137" }, "arrive":2.458, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_9/CIN", "phy_name":"SLICE_4/FCI" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_9/S0", "phy_name":"SLICE_4/F0" }, "arrive":2.559, "delay":0.103 }, { "type":"net_delay", "net": { "log_name":"CNT2_7__N_3[7]", "phy_name":"CNT2_7__N_3[7]" }, "arrive":2.559, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.559, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- ------ --------------------- ------ CNT2_e3_e3_e3_20__i0.ff_inst/CLK->CNT2_e3_e3_e3_20__i0.ff_inst/Q SLICE_R3C67A REG_DEL 0.174 2.150 2 CNT2[0] NET DELAY 0.066 2.216 2 CNT2_e3_e3_e3_20_add_4_cin/B1->CNT2_e3_e3_e3_20_add_4_cin/COUT SLICE_R3C67A C1TOFCO_DEL 0.152 2.368 1 n134 NET DELAY 0.000 2.368 1 CNT2_e3_e3_e3_20_add_4_3/CIN->CNT2_e3_e3_e3_20_add_4_3/COUT SLICE_R3C67B FCITOFCO_DEL 0.034 2.398 1 n135 NET DELAY 0.000 2.398 1 CNT2_e3_e3_e3_20_add_4_5/CIN->CNT2_e3_e3_e3_20_add_4_5/COUT SLICE_R3C67C FCITOFCO_DEL 0.034 2.428 1 n136 NET DELAY 0.000 2.428 1 CNT2_e3_e3_e3_20_add_4_7/CIN->CNT2_e3_e3_e3_20_add_4_7/COUT SLICE_R3C67D FCITOFCO_DEL 0.034 2.458 1 n137 NET DELAY 0.000 2.458 1 CNT2_e3_e3_e3_20_add_4_9/CIN->CNT2_e3_e3_e3_20_add_4_9/S0 SLICE_R3C68A FCITOF0_DEL 0.103 2.559 1 CNT2_7__N_3[7] NET DELAY 0.000 2.559 1 CNT2_e3_e3_e3_20__i7.ff_inst/DF ENDPOINT 0.000 2.559 1 Destination Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i7.ff_inst/CLK", "phy_name":"SLICE_4/CLK" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":0.000, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":0.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":1.000, "delay":1.000 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":1.340, "delay":0.340 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":1.340, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":2.004, "delay":0.664 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.004, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- ------ --------------------- ------ CONSTRAINT 0.000 0.000 1 Clk top CLOCK LATENCY 0.000 0.000 1 Clk NET DELAY 0.000 0.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.000 1.000 3 Clk_c NET DELAY 0.340 1.340 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 1.340 13 clk2 NET DELAY 0.664 2.004 13 CNT2_e3_e3_e3_20__i7.ff_inst/CLK CLOCK PIN 0.000 2.004 1 Uncertainty 0.000 2.004 Common Path Skew -0.026 1.978 Hold time 0.114 2.092 ---------------------------------------- -------------- ---------------- ------ --------------------- ------ Required Time -2.092 Arrival Time 2.561 ---------------------------------------- -------------- ---------------- ------ --------------------- ------ Path Slack (Passed) 0.469 ++++ Path 34 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Path Begin : CNT2_e3_e3_e3_20__i4.ff_inst/Q (SLICE_R3C67C) Path End : CNT2_e3_e3_e3_20__i6.ff_inst/DF (SLICE_R3C67D) Source Clock : CLK2 (R) Destination Clock: CLK2 (R) Logic Level : 3 Delay Ratio : 19.7% (route), 80.3% (logic) Clock Skew : 0.028 ns Hold Constraint : 0.000 ns Common Path Skew : -0.028 ns Path Slack : 0.470 ns (Passed) Source Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i3.ff_inst/CLK", "phy_name":"SLICE_0/CLK" }, "path_sections":[ { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":0.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":1.000, "delay":1.000 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":1.334, "delay":0.334 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":1.334, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":1.976, "delay":0.642 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":1.976, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- ------ --------------------- ------ Clk top CLOCK LATENCY 0.000 0.000 1 Clk NET DELAY 0.000 0.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.000 1.000 3 Clk_c NET DELAY 0.334 1.334 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 1.334 13 clk2 NET DELAY 0.642 1.976 13 {CNT2_e3_e3_e3_20__i3.ff_inst/CLK CNT2_e3_e3_e3_20__i4.ff_inst/CLK} CLOCK PIN 0.000 1.976 1 Data Path { "path_begin": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i4.ff_inst/Q", "phy_name":"SLICE_0/Q1" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i6.ff_inst/DF", "phy_name":"SLICE_3/DI1" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20__i4.ff_inst/CLK", "phy_name":"SLICE_0/CLK" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20__i4.ff_inst/Q", "phy_name":"SLICE_0/Q1" }, "arrive":2.150, "delay":0.174 }, { "type":"net_delay", "net": { "log_name":"CNT2[4]", "phy_name":"CNT2[4]" }, "arrive":2.265, "delay":0.115 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_5/B1", "phy_name":"SLICE_0/B1" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_5/COUT", "phy_name":"SLICE_0/FCO" }, "arrive":2.417, "delay":0.152 }, { "type":"net_delay", "net": { "log_name":"n136", "phy_name":"n136" }, "arrive":2.417, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_7/CIN", "phy_name":"SLICE_3/FCI" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_7/S1", "phy_name":"SLICE_3/F1" }, "arrive":2.560, "delay":0.143 }, { "type":"net_delay", "net": { "log_name":"CNT2_7__N_3[6]", "phy_name":"CNT2_7__N_3[6]" }, "arrive":2.560, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.560, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- ------ --------------------- ------ CNT2_e3_e3_e3_20__i4.ff_inst/CLK->CNT2_e3_e3_e3_20__i4.ff_inst/Q SLICE_R3C67C REG_DEL 0.174 2.150 2 CNT2[4] NET DELAY 0.115 2.265 2 CNT2_e3_e3_e3_20_add_4_5/B1->CNT2_e3_e3_e3_20_add_4_5/COUT SLICE_R3C67C C1TOFCO_DEL 0.152 2.417 1 n136 NET DELAY 0.000 2.417 1 CNT2_e3_e3_e3_20_add_4_7/CIN->CNT2_e3_e3_e3_20_add_4_7/S1 SLICE_R3C67D FCITOF1_DEL 0.143 2.560 1 CNT2_7__N_3[6] NET DELAY 0.000 2.560 1 CNT2_e3_e3_e3_20__i6.ff_inst/DF ENDPOINT 0.000 2.560 1 Destination Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i5.ff_inst/CLK", "phy_name":"SLICE_3/CLK" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":0.000, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":0.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":1.000, "delay":1.000 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":1.340, "delay":0.340 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":1.340, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":2.004, "delay":0.664 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.004, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- ------ --------------------- ------ CONSTRAINT 0.000 0.000 1 Clk top CLOCK LATENCY 0.000 0.000 1 Clk NET DELAY 0.000 0.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.000 1.000 3 Clk_c NET DELAY 0.340 1.340 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 1.340 13 clk2 NET DELAY 0.664 2.004 13 {CNT2_e3_e3_e3_20__i5.ff_inst/CLK CNT2_e3_e3_e3_20__i6.ff_inst/CLK} CLOCK PIN 0.000 2.004 1 Uncertainty 0.000 2.004 Common Path Skew -0.028 1.976 Hold time 0.114 2.090 ---------------------------------------- -------------- ---------------- ------ --------------------- ------ Required Time -2.090 Arrival Time 2.560 ---------------------------------------- -------------- ---------------- ------ --------------------- ------ Path Slack (Passed) 0.470 ++++ Path 35 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Path Begin : CNT2_e3_e3_e3_20__i1.ff_inst/Q (SLICE_R3C67B) Path End : CNT2_e3_e3_e3_20__i5.ff_inst/DF (SLICE_R3C67D) Source Clock : CLK2 (R) Destination Clock: CLK2 (R) Logic Level : 4 Delay Ratio : 22.2% (route), 77.8% (logic) Clock Skew : 0.028 ns Hold Constraint : 0.000 ns Common Path Skew : -0.026 ns Path Slack : 0.474 ns (Passed) Source Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i1.ff_inst/CLK", "phy_name":"SLICE_2/CLK" }, "path_sections":[ { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":0.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":1.000, "delay":1.000 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":1.334, "delay":0.334 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":1.334, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":1.976, "delay":0.642 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":1.976, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- ------ --------------------- ------ Clk top CLOCK LATENCY 0.000 0.000 1 Clk NET DELAY 0.000 0.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.000 1.000 3 Clk_c NET DELAY 0.334 1.334 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 1.334 13 clk2 NET DELAY 0.642 1.976 13 {CNT2_e3_e3_e3_20__i1.ff_inst/CLK CNT2_e3_e3_e3_20__i2.ff_inst/CLK} CLOCK PIN 0.000 1.976 1 Data Path { "path_begin": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i1.ff_inst/Q", "phy_name":"SLICE_2/Q0" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i5.ff_inst/DF", "phy_name":"SLICE_3/DI0" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20__i1.ff_inst/CLK", "phy_name":"SLICE_2/CLK" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20__i1.ff_inst/Q", "phy_name":"SLICE_2/Q0" }, "arrive":2.149, "delay":0.173 }, { "type":"net_delay", "net": { "log_name":"CNT2[1]", "phy_name":"CNT2[1]" }, "arrive":2.281, "delay":0.132 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_3/B0", "phy_name":"SLICE_2/B0" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_3/COUT", "phy_name":"SLICE_2/FCO" }, "arrive":2.433, "delay":0.152 }, { "type":"net_delay", "net": { "log_name":"n135", "phy_name":"n135" }, "arrive":2.433, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_5/CIN", "phy_name":"SLICE_0/FCI" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_5/COUT", "phy_name":"SLICE_0/FCO" }, "arrive":2.461, "delay":0.034 }, { "type":"net_delay", "net": { "log_name":"n136", "phy_name":"n136" }, "arrive":2.461, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_7/CIN", "phy_name":"SLICE_3/FCI" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_7/S0", "phy_name":"SLICE_3/F0" }, "arrive":2.564, "delay":0.103 }, { "type":"net_delay", "net": { "log_name":"CNT2_7__N_3[5]", "phy_name":"CNT2_7__N_3[5]" }, "arrive":2.564, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.564, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- ------ --------------------- ------ CNT2_e3_e3_e3_20__i1.ff_inst/CLK->CNT2_e3_e3_e3_20__i1.ff_inst/Q SLICE_R3C67B REG_DEL 0.173 2.149 2 CNT2[1] NET DELAY 0.132 2.281 2 CNT2_e3_e3_e3_20_add_4_3/B0->CNT2_e3_e3_e3_20_add_4_3/COUT SLICE_R3C67B C0TOFCO_DEL 0.152 2.433 1 n135 NET DELAY 0.000 2.433 1 CNT2_e3_e3_e3_20_add_4_5/CIN->CNT2_e3_e3_e3_20_add_4_5/COUT SLICE_R3C67C FCITOFCO_DEL 0.034 2.461 1 n136 NET DELAY 0.000 2.461 1 CNT2_e3_e3_e3_20_add_4_7/CIN->CNT2_e3_e3_e3_20_add_4_7/S0 SLICE_R3C67D FCITOF0_DEL 0.103 2.564 1 CNT2_7__N_3[5] NET DELAY 0.000 2.564 1 CNT2_e3_e3_e3_20__i5.ff_inst/DF ENDPOINT 0.000 2.564 1 Destination Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i5.ff_inst/CLK", "phy_name":"SLICE_3/CLK" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":0.000, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":0.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":1.000, "delay":1.000 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":1.340, "delay":0.340 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":1.340, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":2.004, "delay":0.664 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.004, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- ------ --------------------- ------ CONSTRAINT 0.000 0.000 1 Clk top CLOCK LATENCY 0.000 0.000 1 Clk NET DELAY 0.000 0.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.000 1.000 3 Clk_c NET DELAY 0.340 1.340 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 1.340 13 clk2 NET DELAY 0.664 2.004 13 {CNT2_e3_e3_e3_20__i5.ff_inst/CLK CNT2_e3_e3_e3_20__i6.ff_inst/CLK} CLOCK PIN 0.000 2.004 1 Uncertainty 0.000 2.004 Common Path Skew -0.026 1.978 Hold time 0.114 2.092 ---------------------------------------- -------------- ---------------- ------ --------------------- ------ Required Time -2.092 Arrival Time 2.566 ---------------------------------------- -------------- ---------------- ------ --------------------- ------ Path Slack (Passed) 0.474 ++++ Path 36 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Path Begin : CNT2_e3_e3_e3_20__i0.ff_inst/Q (SLICE_R3C67A) Path End : CNT2_e3_e3_e3_20__i6.ff_inst/DF (SLICE_R3C67D) Source Clock : CLK2 (R) Destination Clock: CLK2 (R) Logic Level : 5 Delay Ratio : 10.9% (route), 89.1% (logic) Clock Skew : 0.028 ns Hold Constraint : 0.000 ns Common Path Skew : -0.026 ns Path Slack : 0.479 ns (Passed) Source Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i0.ff_inst/CLK", "phy_name":"SLICE_1/CLK" }, "path_sections":[ { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":0.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":1.000, "delay":1.000 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":1.334, "delay":0.334 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":1.334, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":1.976, "delay":0.642 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":1.976, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- ------ --------------------- ------ Clk top CLOCK LATENCY 0.000 0.000 1 Clk NET DELAY 0.000 0.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.000 1.000 3 Clk_c NET DELAY 0.334 1.334 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 1.334 13 clk2 NET DELAY 0.642 1.976 13 CNT2_e3_e3_e3_20__i0.ff_inst/CLK CLOCK PIN 0.000 1.976 1 Data Path { "path_begin": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i0.ff_inst/Q", "phy_name":"SLICE_1/Q1" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i6.ff_inst/DF", "phy_name":"SLICE_3/DI1" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20__i0.ff_inst/CLK", "phy_name":"SLICE_1/CLK" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20__i0.ff_inst/Q", "phy_name":"SLICE_1/Q1" }, "arrive":2.150, "delay":0.174 }, { "type":"net_delay", "net": { "log_name":"CNT2[0]", "phy_name":"CNT2[0]" }, "arrive":2.216, "delay":0.066 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_cin/B1", "phy_name":"SLICE_1/B1" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_cin/COUT", "phy_name":"SLICE_1/FCO" }, "arrive":2.368, "delay":0.152 }, { "type":"net_delay", "net": { "log_name":"n134", "phy_name":"n134" }, "arrive":2.368, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_3/CIN", "phy_name":"SLICE_2/FCI" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_3/COUT", "phy_name":"SLICE_2/FCO" }, "arrive":2.398, "delay":0.034 }, { "type":"net_delay", "net": { "log_name":"n135", "phy_name":"n135" }, "arrive":2.398, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_5/CIN", "phy_name":"SLICE_0/FCI" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_5/COUT", "phy_name":"SLICE_0/FCO" }, "arrive":2.426, "delay":0.034 }, { "type":"net_delay", "net": { "log_name":"n136", "phy_name":"n136" }, "arrive":2.426, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_7/CIN", "phy_name":"SLICE_3/FCI" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_7/S1", "phy_name":"SLICE_3/F1" }, "arrive":2.569, "delay":0.143 }, { "type":"net_delay", "net": { "log_name":"CNT2_7__N_3[6]", "phy_name":"CNT2_7__N_3[6]" }, "arrive":2.569, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.569, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- ------ --------------------- ------ CNT2_e3_e3_e3_20__i0.ff_inst/CLK->CNT2_e3_e3_e3_20__i0.ff_inst/Q SLICE_R3C67A REG_DEL 0.174 2.150 2 CNT2[0] NET DELAY 0.066 2.216 2 CNT2_e3_e3_e3_20_add_4_cin/B1->CNT2_e3_e3_e3_20_add_4_cin/COUT SLICE_R3C67A C1TOFCO_DEL 0.152 2.368 1 n134 NET DELAY 0.000 2.368 1 CNT2_e3_e3_e3_20_add_4_3/CIN->CNT2_e3_e3_e3_20_add_4_3/COUT SLICE_R3C67B FCITOFCO_DEL 0.034 2.398 1 n135 NET DELAY 0.000 2.398 1 CNT2_e3_e3_e3_20_add_4_5/CIN->CNT2_e3_e3_e3_20_add_4_5/COUT SLICE_R3C67C FCITOFCO_DEL 0.034 2.426 1 n136 NET DELAY 0.000 2.426 1 CNT2_e3_e3_e3_20_add_4_7/CIN->CNT2_e3_e3_e3_20_add_4_7/S1 SLICE_R3C67D FCITOF1_DEL 0.143 2.569 1 CNT2_7__N_3[6] NET DELAY 0.000 2.569 1 CNT2_e3_e3_e3_20__i6.ff_inst/DF ENDPOINT 0.000 2.569 1 Destination Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i5.ff_inst/CLK", "phy_name":"SLICE_3/CLK" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":0.000, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":0.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":1.000, "delay":1.000 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":1.340, "delay":0.340 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":1.340, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":2.004, "delay":0.664 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.004, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- ------ --------------------- ------ CONSTRAINT 0.000 0.000 1 Clk top CLOCK LATENCY 0.000 0.000 1 Clk NET DELAY 0.000 0.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.000 1.000 3 Clk_c NET DELAY 0.340 1.340 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 1.340 13 clk2 NET DELAY 0.664 2.004 13 {CNT2_e3_e3_e3_20__i5.ff_inst/CLK CNT2_e3_e3_e3_20__i6.ff_inst/CLK} CLOCK PIN 0.000 2.004 1 Uncertainty 0.000 2.004 Common Path Skew -0.026 1.978 Hold time 0.114 2.092 ---------------------------------------- -------------- ---------------- ------ --------------------- ------ Required Time -2.092 Arrival Time 2.571 ---------------------------------------- -------------- ---------------- ------ --------------------- ------ Path Slack (Passed) 0.479 ++++ Path 37 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Path Begin : CNT2_e3_e3_e3_20__i1.ff_inst/Q (SLICE_R3C67B) Path End : CNT2_e3_e3_e3_20__i4.ff_inst/DF (SLICE_R3C67C) Source Clock : CLK2 (R) Destination Clock: CLK2 (R) Logic Level : 3 Delay Ratio : 22.0% (route), 78.0% (logic) Clock Skew : 0.028 ns Hold Constraint : 0.000 ns Common Path Skew : -0.026 ns Path Slack : 0.484 ns (Passed) Source Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i1.ff_inst/CLK", "phy_name":"SLICE_2/CLK" }, "path_sections":[ { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":0.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":1.000, "delay":1.000 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":1.334, "delay":0.334 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":1.334, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":1.976, "delay":0.642 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":1.976, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- ------ --------------------- ------ Clk top CLOCK LATENCY 0.000 0.000 1 Clk NET DELAY 0.000 0.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.000 1.000 3 Clk_c NET DELAY 0.334 1.334 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 1.334 13 clk2 NET DELAY 0.642 1.976 13 {CNT2_e3_e3_e3_20__i1.ff_inst/CLK CNT2_e3_e3_e3_20__i2.ff_inst/CLK} CLOCK PIN 0.000 1.976 1 Data Path { "path_begin": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i1.ff_inst/Q", "phy_name":"SLICE_2/Q0" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i4.ff_inst/DF", "phy_name":"SLICE_0/DI1" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20__i1.ff_inst/CLK", "phy_name":"SLICE_2/CLK" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20__i1.ff_inst/Q", "phy_name":"SLICE_2/Q0" }, "arrive":2.149, "delay":0.173 }, { "type":"net_delay", "net": { "log_name":"CNT2[1]", "phy_name":"CNT2[1]" }, "arrive":2.281, "delay":0.132 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_3/B0", "phy_name":"SLICE_2/B0" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_3/COUT", "phy_name":"SLICE_2/FCO" }, "arrive":2.433, "delay":0.152 }, { "type":"net_delay", "net": { "log_name":"n135", "phy_name":"n135" }, "arrive":2.433, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_5/CIN", "phy_name":"SLICE_0/FCI" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_5/S1", "phy_name":"SLICE_0/F1" }, "arrive":2.574, "delay":0.143 }, { "type":"net_delay", "net": { "log_name":"CNT2_7__N_3[4]", "phy_name":"CNT2_7__N_3[4]" }, "arrive":2.574, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.574, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- ------ --------------------- ------ CNT2_e3_e3_e3_20__i1.ff_inst/CLK->CNT2_e3_e3_e3_20__i1.ff_inst/Q SLICE_R3C67B REG_DEL 0.173 2.149 2 CNT2[1] NET DELAY 0.132 2.281 2 CNT2_e3_e3_e3_20_add_4_3/B0->CNT2_e3_e3_e3_20_add_4_3/COUT SLICE_R3C67B C0TOFCO_DEL 0.152 2.433 1 n135 NET DELAY 0.000 2.433 1 CNT2_e3_e3_e3_20_add_4_5/CIN->CNT2_e3_e3_e3_20_add_4_5/S1 SLICE_R3C67C FCITOF1_DEL 0.143 2.574 1 CNT2_7__N_3[4] NET DELAY 0.000 2.574 1 CNT2_e3_e3_e3_20__i4.ff_inst/DF ENDPOINT 0.000 2.574 1 Destination Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i3.ff_inst/CLK", "phy_name":"SLICE_0/CLK" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":0.000, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":0.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":1.000, "delay":1.000 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":1.340, "delay":0.340 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":1.340, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":2.004, "delay":0.664 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.004, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- ------ --------------------- ------ CONSTRAINT 0.000 0.000 1 Clk top CLOCK LATENCY 0.000 0.000 1 Clk NET DELAY 0.000 0.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.000 1.000 3 Clk_c NET DELAY 0.340 1.340 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 1.340 13 clk2 NET DELAY 0.664 2.004 13 {CNT2_e3_e3_e3_20__i3.ff_inst/CLK CNT2_e3_e3_e3_20__i4.ff_inst/CLK} CLOCK PIN 0.000 2.004 1 Uncertainty 0.000 2.004 Common Path Skew -0.026 1.978 Hold time 0.114 2.092 ---------------------------------------- -------------- ---------------- ------ --------------------- ------ Required Time -2.092 Arrival Time 2.576 ---------------------------------------- -------------- ---------------- ------ --------------------- ------ Path Slack (Passed) 0.484 ++++ Path 38 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Path Begin : CNT2_e3_e3_e3_20__i1.ff_inst/Q (SLICE_R3C67B) Path End : CNT2_e3_e3_e3_20__i7.ff_inst/DF (SLICE_R3C68A) Source Clock : CLK2 (R) Destination Clock: CLK2 (R) Logic Level : 5 Delay Ratio : 21.0% (route), 79.0% (logic) Clock Skew : 0.028 ns Hold Constraint : 0.000 ns Common Path Skew : -0.026 ns Path Slack : 0.504 ns (Passed) Source Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i1.ff_inst/CLK", "phy_name":"SLICE_2/CLK" }, "path_sections":[ { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":0.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":1.000, "delay":1.000 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":1.334, "delay":0.334 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":1.334, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":1.976, "delay":0.642 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":1.976, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- ------ --------------------- ------ Clk top CLOCK LATENCY 0.000 0.000 1 Clk NET DELAY 0.000 0.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.000 1.000 3 Clk_c NET DELAY 0.334 1.334 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 1.334 13 clk2 NET DELAY 0.642 1.976 13 {CNT2_e3_e3_e3_20__i1.ff_inst/CLK CNT2_e3_e3_e3_20__i2.ff_inst/CLK} CLOCK PIN 0.000 1.976 1 Data Path { "path_begin": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i1.ff_inst/Q", "phy_name":"SLICE_2/Q0" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i7.ff_inst/DF", "phy_name":"SLICE_4/DI0" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20__i1.ff_inst/CLK", "phy_name":"SLICE_2/CLK" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20__i1.ff_inst/Q", "phy_name":"SLICE_2/Q0" }, "arrive":2.149, "delay":0.173 }, { "type":"net_delay", "net": { "log_name":"CNT2[1]", "phy_name":"CNT2[1]" }, "arrive":2.281, "delay":0.132 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_3/B0", "phy_name":"SLICE_2/B0" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_3/COUT", "phy_name":"SLICE_2/FCO" }, "arrive":2.433, "delay":0.152 }, { "type":"net_delay", "net": { "log_name":"n135", "phy_name":"n135" }, "arrive":2.433, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_5/CIN", "phy_name":"SLICE_0/FCI" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_5/COUT", "phy_name":"SLICE_0/FCO" }, "arrive":2.463, "delay":0.034 }, { "type":"net_delay", "net": { "log_name":"n136", "phy_name":"n136" }, "arrive":2.463, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_7/CIN", "phy_name":"SLICE_3/FCI" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_7/COUT", "phy_name":"SLICE_3/FCO" }, "arrive":2.493, "delay":0.034 }, { "type":"net_delay", "net": { "log_name":"n137", "phy_name":"n137" }, "arrive":2.493, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_9/CIN", "phy_name":"SLICE_4/FCI" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_9/S0", "phy_name":"SLICE_4/F0" }, "arrive":2.594, "delay":0.103 }, { "type":"net_delay", "net": { "log_name":"CNT2_7__N_3[7]", "phy_name":"CNT2_7__N_3[7]" }, "arrive":2.594, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.594, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- ------ --------------------- ------ CNT2_e3_e3_e3_20__i1.ff_inst/CLK->CNT2_e3_e3_e3_20__i1.ff_inst/Q SLICE_R3C67B REG_DEL 0.173 2.149 2 CNT2[1] NET DELAY 0.132 2.281 2 CNT2_e3_e3_e3_20_add_4_3/B0->CNT2_e3_e3_e3_20_add_4_3/COUT SLICE_R3C67B C0TOFCO_DEL 0.152 2.433 1 n135 NET DELAY 0.000 2.433 1 CNT2_e3_e3_e3_20_add_4_5/CIN->CNT2_e3_e3_e3_20_add_4_5/COUT SLICE_R3C67C FCITOFCO_DEL 0.034 2.463 1 n136 NET DELAY 0.000 2.463 1 CNT2_e3_e3_e3_20_add_4_7/CIN->CNT2_e3_e3_e3_20_add_4_7/COUT SLICE_R3C67D FCITOFCO_DEL 0.034 2.493 1 n137 NET DELAY 0.000 2.493 1 CNT2_e3_e3_e3_20_add_4_9/CIN->CNT2_e3_e3_e3_20_add_4_9/S0 SLICE_R3C68A FCITOF0_DEL 0.103 2.594 1 CNT2_7__N_3[7] NET DELAY 0.000 2.594 1 CNT2_e3_e3_e3_20__i7.ff_inst/DF ENDPOINT 0.000 2.594 1 Destination Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i7.ff_inst/CLK", "phy_name":"SLICE_4/CLK" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":0.000, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":0.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":1.000, "delay":1.000 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":1.340, "delay":0.340 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":1.340, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":2.004, "delay":0.664 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.004, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- ------ --------------------- ------ CONSTRAINT 0.000 0.000 1 Clk top CLOCK LATENCY 0.000 0.000 1 Clk NET DELAY 0.000 0.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.000 1.000 3 Clk_c NET DELAY 0.340 1.340 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 1.340 13 clk2 NET DELAY 0.664 2.004 13 CNT2_e3_e3_e3_20__i7.ff_inst/CLK CLOCK PIN 0.000 2.004 1 Uncertainty 0.000 2.004 Common Path Skew -0.026 1.978 Hold time 0.114 2.092 ---------------------------------------- -------------- ---------------- ------ --------------------- ------ Required Time -2.092 Arrival Time 2.596 ---------------------------------------- -------------- ---------------- ------ --------------------- ------ Path Slack (Passed) 0.504 ++++ Path 39 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Path Begin : CNT2_e3_e3_e3_20__i1.ff_inst/Q (SLICE_R3C67B) Path End : CNT2_e3_e3_e3_20__i6.ff_inst/DF (SLICE_R3C67D) Source Clock : CLK2 (R) Destination Clock: CLK2 (R) Logic Level : 4 Delay Ratio : 20.8% (route), 79.2% (logic) Clock Skew : 0.028 ns Hold Constraint : 0.000 ns Common Path Skew : -0.026 ns Path Slack : 0.514 ns (Passed) Source Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i1.ff_inst/CLK", "phy_name":"SLICE_2/CLK" }, "path_sections":[ { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":0.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":1.000, "delay":1.000 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":1.334, "delay":0.334 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":1.334, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":1.976, "delay":0.642 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":1.976, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- ------ --------------------- ------ Clk top CLOCK LATENCY 0.000 0.000 1 Clk NET DELAY 0.000 0.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.000 1.000 3 Clk_c NET DELAY 0.334 1.334 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 1.334 13 clk2 NET DELAY 0.642 1.976 13 {CNT2_e3_e3_e3_20__i1.ff_inst/CLK CNT2_e3_e3_e3_20__i2.ff_inst/CLK} CLOCK PIN 0.000 1.976 1 Data Path { "path_begin": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i1.ff_inst/Q", "phy_name":"SLICE_2/Q0" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i6.ff_inst/DF", "phy_name":"SLICE_3/DI1" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20__i1.ff_inst/CLK", "phy_name":"SLICE_2/CLK" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20__i1.ff_inst/Q", "phy_name":"SLICE_2/Q0" }, "arrive":2.149, "delay":0.173 }, { "type":"net_delay", "net": { "log_name":"CNT2[1]", "phy_name":"CNT2[1]" }, "arrive":2.281, "delay":0.132 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_3/B0", "phy_name":"SLICE_2/B0" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_3/COUT", "phy_name":"SLICE_2/FCO" }, "arrive":2.433, "delay":0.152 }, { "type":"net_delay", "net": { "log_name":"n135", "phy_name":"n135" }, "arrive":2.433, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_5/CIN", "phy_name":"SLICE_0/FCI" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_5/COUT", "phy_name":"SLICE_0/FCO" }, "arrive":2.461, "delay":0.034 }, { "type":"net_delay", "net": { "log_name":"n136", "phy_name":"n136" }, "arrive":2.461, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20_add_4_7/CIN", "phy_name":"SLICE_3/FCI" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20_add_4_7/S1", "phy_name":"SLICE_3/F1" }, "arrive":2.604, "delay":0.143 }, { "type":"net_delay", "net": { "log_name":"CNT2_7__N_3[6]", "phy_name":"CNT2_7__N_3[6]" }, "arrive":2.604, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.604, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- ------ --------------------- ------ CNT2_e3_e3_e3_20__i1.ff_inst/CLK->CNT2_e3_e3_e3_20__i1.ff_inst/Q SLICE_R3C67B REG_DEL 0.173 2.149 2 CNT2[1] NET DELAY 0.132 2.281 2 CNT2_e3_e3_e3_20_add_4_3/B0->CNT2_e3_e3_e3_20_add_4_3/COUT SLICE_R3C67B C0TOFCO_DEL 0.152 2.433 1 n135 NET DELAY 0.000 2.433 1 CNT2_e3_e3_e3_20_add_4_5/CIN->CNT2_e3_e3_e3_20_add_4_5/COUT SLICE_R3C67C FCITOFCO_DEL 0.034 2.461 1 n136 NET DELAY 0.000 2.461 1 CNT2_e3_e3_e3_20_add_4_7/CIN->CNT2_e3_e3_e3_20_add_4_7/S1 SLICE_R3C67D FCITOF1_DEL 0.143 2.604 1 CNT2_7__N_3[6] NET DELAY 0.000 2.604 1 CNT2_e3_e3_e3_20__i6.ff_inst/DF ENDPOINT 0.000 2.604 1 Destination Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i5.ff_inst/CLK", "phy_name":"SLICE_3/CLK" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":0.000, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":0.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":1.000, "delay":1.000 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":1.340, "delay":0.340 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":1.340, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":2.004, "delay":0.664 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.004, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- ------ --------------------- ------ CONSTRAINT 0.000 0.000 1 Clk top CLOCK LATENCY 0.000 0.000 1 Clk NET DELAY 0.000 0.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.000 1.000 3 Clk_c NET DELAY 0.340 1.340 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 1.340 13 clk2 NET DELAY 0.664 2.004 13 {CNT2_e3_e3_e3_20__i5.ff_inst/CLK CNT2_e3_e3_e3_20__i6.ff_inst/CLK} CLOCK PIN 0.000 2.004 1 Uncertainty 0.000 2.004 Common Path Skew -0.026 1.978 Hold time 0.114 2.092 ---------------------------------------- -------------- ---------------- ------ --------------------- ------ Required Time -2.092 Arrival Time 2.606 ---------------------------------------- -------------- ---------------- ------ --------------------- ------ Path Slack (Passed) 0.514 ++++ Path 40 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Path Begin : CNT1_e3_e3_e3_21__i0.ff_inst/Q (SLICE_R9C2C) Path End : MyDCC/CE (DCC_DCC_T6) Source Clock : CLK1 (R) Destination Clock: CLK1 (R) Logic Level : 2 Delay Ratio : 75.2% (route), 24.8% (logic) Clock Skew : -0.636 ns Hold Constraint : 0.000 ns Common Path Skew : -0.006 ns Path Slack : 1.797 ns (Passed) Source Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT1_e3_e3_e3_21__i0.ff_inst/CLK", "phy_name":"SLICE_8/CLK" }, "path_sections":[ { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":0.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":1.000, "delay":1.000 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":1.976, "delay":0.976 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":1.976, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- ------ --------------------- ------ Clk top CLOCK LATENCY 0.000 0.000 1 Clk NET DELAY 0.000 0.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.000 1.000 3 Clk_c NET DELAY 0.976 1.976 3 CNT1_e3_e3_e3_21__i0.ff_inst/CLK CLOCK PIN 0.000 1.976 1 Data Path { "path_begin": { "type":"pin", "log_name":"CNT1_e3_e3_e3_21__i0.ff_inst/Q", "phy_name":"SLICE_8/Q0" }, "path_end": { "type":"pin", "log_name":"MyDCC/CE", "phy_name":"MyDCC/CE" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"CNT1_e3_e3_e3_21__i0.ff_inst/CLK", "phy_name":"SLICE_8/CLK" }, "pin1": { "log_name":"CNT1_e3_e3_e3_21__i0.ff_inst/Q", "phy_name":"SLICE_8/Q0" }, "arrive":2.149, "delay":0.173 }, { "type":"net_delay", "net": { "log_name":"CNT1[0]", "phy_name":"CNT1[0]" }, "arrive":2.259, "delay":0.110 }, { "type":"site_delay", "pin0": { "log_name":"i25_2_lut/A", "phy_name":"SLICE_15/D0" }, "pin1": { "log_name":"i25_2_lut/Z", "phy_name":"SLICE_15/F0" }, "arrive":2.373, "delay":0.114 }, { "type":"net_delay", "net": { "log_name":"Enable", "phy_name":"Enable" }, "arrive":3.131, "delay":0.758 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":3.131, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- ------ --------------------- ------ CNT1_e3_e3_e3_21__i0.ff_inst/CLK->CNT1_e3_e3_e3_21__i0.ff_inst/Q SLICE_R9C2C REG_DEL 0.173 2.149 3 CNT1[0] NET DELAY 0.110 2.259 3 i25_2_lut/A->i25_2_lut/Z SLICE_R9C2B CTOF_DEL 0.114 2.373 1 Enable NET DELAY 0.758 3.131 1 MyDCC/CE ENDPOINT 0.000 3.131 1 Destination Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":0.000, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":0.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":1.000, "delay":1.000 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":1.340, "delay":0.340 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":1.340, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- ------ --------------------- ------ CONSTRAINT 0.000 0.000 1 Clk top CLOCK LATENCY 0.000 0.000 1 Clk NET DELAY 0.000 0.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.000 1.000 3 Clk_c NET DELAY 0.340 1.340 3 MyDCC/CLKI CLOCK PIN 0.000 1.340 1 Uncertainty 0.000 1.340 Common Path Skew -0.006 1.334 Hold time 0.000 1.334 ---------------------------------------- -------------- ---------------- ------ --------------------- ------ Required Time -1.334 Arrival Time 3.131 ---------------------------------------- -------------- ---------------- ------ --------------------- ------ Path Slack (Passed) 1.797 ++++ Path 41 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Path Begin : CNT1_e3_e3_e3_21__i1.ff_inst/Q (SLICE_R9C2D) Path End : MyDCC/CE (DCC_DCC_T6) Source Clock : CLK1 (R) Destination Clock: CLK1 (R) Logic Level : 2 Delay Ratio : 75.3% (route), 24.7% (logic) Clock Skew : -0.636 ns Hold Constraint : 0.000 ns Common Path Skew : -0.006 ns Path Slack : 1.802 ns (Passed) Source Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT1_e3_e3_e3_21__i1.ff_inst/CLK", "phy_name":"SLICE_5/CLK" }, "path_sections":[ { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":0.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":1.000, "delay":1.000 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":1.976, "delay":0.976 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":1.976, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- ------ --------------------- ------ Clk top CLOCK LATENCY 0.000 0.000 1 Clk NET DELAY 0.000 0.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.000 1.000 3 Clk_c NET DELAY 0.976 1.976 3 CNT1_e3_e3_e3_21__i1.ff_inst/CLK CLOCK PIN 0.000 1.976 1 Data Path { "path_begin": { "type":"pin", "log_name":"CNT1_e3_e3_e3_21__i1.ff_inst/Q", "phy_name":"SLICE_5/Q0" }, "path_end": { "type":"pin", "log_name":"MyDCC/CE", "phy_name":"MyDCC/CE" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"CNT1_e3_e3_e3_21__i1.ff_inst/CLK", "phy_name":"SLICE_5/CLK" }, "pin1": { "log_name":"CNT1_e3_e3_e3_21__i1.ff_inst/Q", "phy_name":"SLICE_5/Q0" }, "arrive":2.149, "delay":0.173 }, { "type":"net_delay", "net": { "log_name":"CNT1[1]", "phy_name":"CNT1[1]" }, "arrive":2.264, "delay":0.115 }, { "type":"site_delay", "pin0": { "log_name":"i25_2_lut/B", "phy_name":"SLICE_15/B0" }, "pin1": { "log_name":"i25_2_lut/Z", "phy_name":"SLICE_15/F0" }, "arrive":2.378, "delay":0.114 }, { "type":"net_delay", "net": { "log_name":"Enable", "phy_name":"Enable" }, "arrive":3.136, "delay":0.758 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":3.136, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- ------ --------------------- ------ CNT1_e3_e3_e3_21__i1.ff_inst/CLK->CNT1_e3_e3_e3_21__i1.ff_inst/Q SLICE_R9C2D REG_DEL 0.173 2.149 2 CNT1[1] NET DELAY 0.115 2.264 2 i25_2_lut/B->i25_2_lut/Z SLICE_R9C2B CTOF_DEL 0.114 2.378 1 Enable NET DELAY 0.758 3.136 1 MyDCC/CE ENDPOINT 0.000 3.136 1 Destination Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":0.000, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":0.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":1.000, "delay":1.000 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":1.340, "delay":0.340 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":1.340, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- ------ --------------------- ------ CONSTRAINT 0.000 0.000 1 Clk top CLOCK LATENCY 0.000 0.000 1 Clk NET DELAY 0.000 0.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.000 1.000 3 Clk_c NET DELAY 0.340 1.340 3 MyDCC/CLKI CLOCK PIN 0.000 1.340 1 Uncertainty 0.000 1.340 Common Path Skew -0.006 1.334 Hold time 0.000 1.334 ---------------------------------------- -------------- ---------------- ------ --------------------- ------ Required Time -1.334 Arrival Time 3.136 ---------------------------------------- -------------- ---------------- ------ --------------------- ------ Path Slack (Passed) 1.802 ++++ Path 42 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Path Begin : CNT2_e3_e3_e3_20__i0.ff_inst/Q (SLICE_R3C67A) Path End : CNT2_d_i1.ff_inst/DF (SLICE_R2C67C) Source Clock : CLK2 (R) Destination Clock: CLK2 (F) Logic Level : 1 Delay Ratio : 37.2% (route), 62.8% (logic) Clock Skew : -0.040 ns Hold Constraint : -35.000 ns Common Path Skew : -0.016 ns Path Slack : 35.236 ns (Passed) Source Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i0.ff_inst/CLK", "phy_name":"SLICE_1/CLK" }, "path_sections":[ { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":0.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":1.000, "delay":1.000 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":1.334, "delay":0.334 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":1.334, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":1.976, "delay":0.642 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":1.976, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- ------ --------------------- ------ Clk top CLOCK LATENCY 0.000 0.000 1 Clk NET DELAY 0.000 0.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.000 1.000 3 Clk_c NET DELAY 0.334 1.334 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 1.334 13 clk2 NET DELAY 0.642 1.976 13 CNT2_e3_e3_e3_20__i0.ff_inst/CLK CLOCK PIN 0.000 1.976 1 Data Path { "path_begin": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i0.ff_inst/Q", "phy_name":"SLICE_1/Q1" }, "path_end": { "type":"pin", "log_name":"CNT2_d_i1.ff_inst/DF", "phy_name":"CNT2_d_i1.SLICE_14/M0" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20__i0.ff_inst/CLK", "phy_name":"SLICE_1/CLK" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20__i0.ff_inst/Q", "phy_name":"SLICE_1/Q1" }, "arrive":2.150, "delay":0.174 }, { "type":"net_delay", "net": { "log_name":"CNT2[0]", "phy_name":"CNT2[0]" }, "arrive":2.253, "delay":0.103 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.253, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- ------ --------------------- ------ CNT2_e3_e3_e3_20__i0.ff_inst/CLK->CNT2_e3_e3_e3_20__i0.ff_inst/Q SLICE_R3C67A REG_DEL 0.174 2.150 2 CNT2[0] NET DELAY 0.103 2.253 2 CNT2_d_i1.ff_inst/DF ENDPOINT 0.000 2.253 1 Destination Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_d_i1.ff_inst/CLK", "phy_name":"CNT2_d_i1.SLICE_14/CLK" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":-35.000, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":-35.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":-34.078, "delay":0.922 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":-33.729, "delay":0.349 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":-33.729, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":-33.064, "delay":0.665 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":-33.064, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- ------ --------------------- ------ CONSTRAINT 0.000 -35.000 1 Clk top CLOCK LATENCY 0.000 -35.000 1 Clk NET DELAY 0.000 -35.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 0.922 -34.078 3 Clk_c NET DELAY 0.349 -33.729 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 -33.729 13 clk2 NET DELAY 0.665 -33.064 13 CNT2_d_i1.ff_inst/CLK CLOCK PIN 0.000 -33.064 1 Uncertainty 0.000 -33.064 Common Path Skew -0.016 -33.080 Hold time 0.097 -32.983 ---------------------------------------- -------------- ---------------- ------ --------------------- ------ Required Time 32.983 Arrival Time 2.253 ---------------------------------------- -------------- ---------------- ------ --------------------- ------ Path Slack (Passed) 35.236 ++++ Path 43 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Path Begin : CNT2_e3_e3_e3_20__i1.ff_inst/Q (SLICE_R3C67B) Path End : CNT2_d_i2.ff_inst/DF (SLICE_R2C67D) Source Clock : CLK2 (R) Destination Clock: CLK2 (F) Logic Level : 1 Delay Ratio : 39.5% (route), 60.5% (logic) Clock Skew : -0.040 ns Hold Constraint : -35.000 ns Common Path Skew : -0.016 ns Path Slack : 35.245 ns (Passed) Source Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i1.ff_inst/CLK", "phy_name":"SLICE_2/CLK" }, "path_sections":[ { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":0.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":1.000, "delay":1.000 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":1.334, "delay":0.334 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":1.334, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":1.976, "delay":0.642 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":1.976, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- ------ --------------------- ------ Clk top CLOCK LATENCY 0.000 0.000 1 Clk NET DELAY 0.000 0.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.000 1.000 3 Clk_c NET DELAY 0.334 1.334 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 1.334 13 clk2 NET DELAY 0.642 1.976 13 {CNT2_e3_e3_e3_20__i1.ff_inst/CLK CNT2_e3_e3_e3_20__i2.ff_inst/CLK} CLOCK PIN 0.000 1.976 1 Data Path { "path_begin": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i1.ff_inst/Q", "phy_name":"SLICE_2/Q0" }, "path_end": { "type":"pin", "log_name":"CNT2_d_i2.ff_inst/DF", "phy_name":"CNT2_d_i2.SLICE_13/M0" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20__i1.ff_inst/CLK", "phy_name":"SLICE_2/CLK" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20__i1.ff_inst/Q", "phy_name":"SLICE_2/Q0" }, "arrive":2.149, "delay":0.173 }, { "type":"net_delay", "net": { "log_name":"CNT2[1]", "phy_name":"CNT2[1]" }, "arrive":2.262, "delay":0.113 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.262, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- ------ --------------------- ------ CNT2_e3_e3_e3_20__i1.ff_inst/CLK->CNT2_e3_e3_e3_20__i1.ff_inst/Q SLICE_R3C67B REG_DEL 0.173 2.149 2 CNT2[1] NET DELAY 0.113 2.262 2 CNT2_d_i2.ff_inst/DF ENDPOINT 0.000 2.262 1 Destination Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_d_i2.ff_inst/CLK", "phy_name":"CNT2_d_i2.SLICE_13/CLK" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":-35.000, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":-35.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":-34.078, "delay":0.922 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":-33.729, "delay":0.349 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":-33.729, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":-33.064, "delay":0.665 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":-33.064, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- ------ --------------------- ------ CONSTRAINT 0.000 -35.000 1 Clk top CLOCK LATENCY 0.000 -35.000 1 Clk NET DELAY 0.000 -35.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 0.922 -34.078 3 Clk_c NET DELAY 0.349 -33.729 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 -33.729 13 clk2 NET DELAY 0.665 -33.064 13 CNT2_d_i2.ff_inst/CLK CLOCK PIN 0.000 -33.064 1 Uncertainty 0.000 -33.064 Common Path Skew -0.016 -33.080 Hold time 0.097 -32.983 ---------------------------------------- -------------- ---------------- ------ --------------------- ------ Required Time 32.983 Arrival Time 2.262 ---------------------------------------- -------------- ---------------- ------ --------------------- ------ Path Slack (Passed) 35.245 ++++ Path 44 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Path Begin : CNT2_e3_e3_e3_20__i7.ff_inst/Q (SLICE_R3C68A) Path End : CNT2_d_i8.ff_inst/DF (SLICE_R2C68D) Source Clock : CLK2 (R) Destination Clock: CLK2 (F) Logic Level : 1 Delay Ratio : 39.5% (route), 60.5% (logic) Clock Skew : -0.040 ns Hold Constraint : -35.000 ns Common Path Skew : -0.016 ns Path Slack : 35.245 ns (Passed) Source Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i7.ff_inst/CLK", "phy_name":"SLICE_4/CLK" }, "path_sections":[ { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":0.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":1.000, "delay":1.000 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":1.334, "delay":0.334 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":1.334, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":1.976, "delay":0.642 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":1.976, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- ------ --------------------- ------ Clk top CLOCK LATENCY 0.000 0.000 1 Clk NET DELAY 0.000 0.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.000 1.000 3 Clk_c NET DELAY 0.334 1.334 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 1.334 13 clk2 NET DELAY 0.642 1.976 13 CNT2_e3_e3_e3_20__i7.ff_inst/CLK CLOCK PIN 0.000 1.976 1 Data Path { "path_begin": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i7.ff_inst/Q", "phy_name":"SLICE_4/Q0" }, "path_end": { "type":"pin", "log_name":"CNT2_d_i8.ff_inst/DF", "phy_name":"CNT2_d_i8.SLICE_6/M0" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20__i7.ff_inst/CLK", "phy_name":"SLICE_4/CLK" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20__i7.ff_inst/Q", "phy_name":"SLICE_4/Q0" }, "arrive":2.149, "delay":0.173 }, { "type":"net_delay", "net": { "log_name":"CNT2[7]", "phy_name":"CNT2[7]" }, "arrive":2.262, "delay":0.113 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.262, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- ------ --------------------- ------ CNT2_e3_e3_e3_20__i7.ff_inst/CLK->CNT2_e3_e3_e3_20__i7.ff_inst/Q SLICE_R3C68A REG_DEL 0.173 2.149 2 CNT2[7] NET DELAY 0.113 2.262 2 CNT2_d_i8.ff_inst/DF ENDPOINT 0.000 2.262 1 Destination Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_d_i8.ff_inst/CLK", "phy_name":"CNT2_d_i8.SLICE_6/CLK" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":-35.000, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":-35.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":-34.078, "delay":0.922 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":-33.729, "delay":0.349 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":-33.729, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":-33.064, "delay":0.665 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":-33.064, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- ------ --------------------- ------ CONSTRAINT 0.000 -35.000 1 Clk top CLOCK LATENCY 0.000 -35.000 1 Clk NET DELAY 0.000 -35.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 0.922 -34.078 3 Clk_c NET DELAY 0.349 -33.729 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 -33.729 13 clk2 NET DELAY 0.665 -33.064 13 CNT2_d_i8.ff_inst/CLK CLOCK PIN 0.000 -33.064 1 Uncertainty 0.000 -33.064 Common Path Skew -0.016 -33.080 Hold time 0.097 -32.983 ---------------------------------------- -------------- ---------------- ------ --------------------- ------ Required Time 32.983 Arrival Time 2.262 ---------------------------------------- -------------- ---------------- ------ --------------------- ------ Path Slack (Passed) 35.245 ++++ Path 45 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Path Begin : CNT2_e3_e3_e3_20__i5.ff_inst/Q (SLICE_R3C67D) Path End : CNT2_d_i6.ff_inst/DF (SLICE_R3C65D) Source Clock : CLK2 (R) Destination Clock: CLK2 (F) Logic Level : 1 Delay Ratio : 38.4% (route), 61.6% (logic) Clock Skew : -0.040 ns Hold Constraint : -35.000 ns Common Path Skew : -0.026 ns Path Slack : 35.250 ns (Passed) Source Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i5.ff_inst/CLK", "phy_name":"SLICE_3/CLK" }, "path_sections":[ { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":0.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":1.000, "delay":1.000 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":1.334, "delay":0.334 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":1.334, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":1.976, "delay":0.642 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":1.976, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- ------ --------------------- ------ Clk top CLOCK LATENCY 0.000 0.000 1 Clk NET DELAY 0.000 0.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.000 1.000 3 Clk_c NET DELAY 0.334 1.334 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 1.334 13 clk2 NET DELAY 0.642 1.976 13 {CNT2_e3_e3_e3_20__i5.ff_inst/CLK CNT2_e3_e3_e3_20__i6.ff_inst/CLK} CLOCK PIN 0.000 1.976 1 Data Path { "path_begin": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i5.ff_inst/Q", "phy_name":"SLICE_3/Q0" }, "path_end": { "type":"pin", "log_name":"CNT2_d_i6.ff_inst/DF", "phy_name":"CNT2_d_i6.SLICE_9/M0" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20__i5.ff_inst/CLK", "phy_name":"SLICE_3/CLK" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20__i5.ff_inst/Q", "phy_name":"SLICE_3/Q0" }, "arrive":2.149, "delay":0.173 }, { "type":"net_delay", "net": { "log_name":"CNT2[5]", "phy_name":"CNT2[5]" }, "arrive":2.257, "delay":0.108 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.257, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- ------ --------------------- ------ CNT2_e3_e3_e3_20__i5.ff_inst/CLK->CNT2_e3_e3_e3_20__i5.ff_inst/Q SLICE_R3C67D REG_DEL 0.173 2.149 2 CNT2[5] NET DELAY 0.108 2.257 2 CNT2_d_i6.ff_inst/DF ENDPOINT 0.000 2.257 1 Destination Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_d_i6.ff_inst/CLK", "phy_name":"CNT2_d_i6.SLICE_9/CLK" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":-35.000, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":-35.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":-34.078, "delay":0.922 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":-33.729, "delay":0.349 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":-33.729, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":-33.064, "delay":0.665 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":-33.064, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- ------ --------------------- ------ CONSTRAINT 0.000 -35.000 1 Clk top CLOCK LATENCY 0.000 -35.000 1 Clk NET DELAY 0.000 -35.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 0.922 -34.078 3 Clk_c NET DELAY 0.349 -33.729 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 -33.729 13 clk2 NET DELAY 0.665 -33.064 13 CNT2_d_i6.ff_inst/CLK CLOCK PIN 0.000 -33.064 1 Uncertainty 0.000 -33.064 Common Path Skew -0.026 -33.090 Hold time 0.097 -32.993 ---------------------------------------- -------------- ---------------- ------ --------------------- ------ Required Time 32.993 Arrival Time 2.257 ---------------------------------------- -------------- ---------------- ------ --------------------- ------ Path Slack (Passed) 35.250 ++++ Path 46 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Path Begin : CNT2_e3_e3_e3_20__i3.ff_inst/Q (SLICE_R3C67C) Path End : CNT2_d_i4.ff_inst/DF (SLICE_R4C67D) Source Clock : CLK2 (R) Destination Clock: CLK2 (F) Logic Level : 1 Delay Ratio : 47.3% (route), 52.7% (logic) Clock Skew : -0.040 ns Hold Constraint : -35.000 ns Common Path Skew : -0.016 ns Path Slack : 35.287 ns (Passed) Source Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i3.ff_inst/CLK", "phy_name":"SLICE_0/CLK" }, "path_sections":[ { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":0.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":1.000, "delay":1.000 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":1.334, "delay":0.334 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":1.334, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":1.976, "delay":0.642 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":1.976, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- ------ --------------------- ------ Clk top CLOCK LATENCY 0.000 0.000 1 Clk NET DELAY 0.000 0.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.000 1.000 3 Clk_c NET DELAY 0.334 1.334 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 1.334 13 clk2 NET DELAY 0.642 1.976 13 {CNT2_e3_e3_e3_20__i3.ff_inst/CLK CNT2_e3_e3_e3_20__i4.ff_inst/CLK} CLOCK PIN 0.000 1.976 1 Data Path { "path_begin": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i3.ff_inst/Q", "phy_name":"SLICE_0/Q0" }, "path_end": { "type":"pin", "log_name":"CNT2_d_i4.ff_inst/DF", "phy_name":"CNT2_d_i4.SLICE_11/M0" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20__i3.ff_inst/CLK", "phy_name":"SLICE_0/CLK" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20__i3.ff_inst/Q", "phy_name":"SLICE_0/Q0" }, "arrive":2.149, "delay":0.173 }, { "type":"net_delay", "net": { "log_name":"CNT2[3]", "phy_name":"CNT2[3]" }, "arrive":2.304, "delay":0.155 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.304, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- ------ --------------------- ------ CNT2_e3_e3_e3_20__i3.ff_inst/CLK->CNT2_e3_e3_e3_20__i3.ff_inst/Q SLICE_R3C67C REG_DEL 0.173 2.149 2 CNT2[3] NET DELAY 0.155 2.304 2 CNT2_d_i4.ff_inst/DF ENDPOINT 0.000 2.304 1 Destination Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_d_i4.ff_inst/CLK", "phy_name":"CNT2_d_i4.SLICE_11/CLK" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":-35.000, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":-35.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":-34.078, "delay":0.922 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":-33.729, "delay":0.349 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":-33.729, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":-33.064, "delay":0.665 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":-33.064, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- ------ --------------------- ------ CONSTRAINT 0.000 -35.000 1 Clk top CLOCK LATENCY 0.000 -35.000 1 Clk NET DELAY 0.000 -35.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 0.922 -34.078 3 Clk_c NET DELAY 0.349 -33.729 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 -33.729 13 clk2 NET DELAY 0.665 -33.064 13 CNT2_d_i4.ff_inst/CLK CLOCK PIN 0.000 -33.064 1 Uncertainty 0.000 -33.064 Common Path Skew -0.016 -33.080 Hold time 0.097 -32.983 ---------------------------------------- -------------- ---------------- ------ --------------------- ------ Required Time 32.983 Arrival Time 2.304 ---------------------------------------- -------------- ---------------- ------ --------------------- ------ Path Slack (Passed) 35.287 ++++ Path 47 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Path Begin : CNT2_e3_e3_e3_20__i2.ff_inst/Q (SLICE_R3C67B) Path End : CNT2_d_i3.ff_inst/DF (SLICE_R3C66C) Source Clock : CLK2 (R) Destination Clock: CLK2 (F) Logic Level : 1 Delay Ratio : 47.1% (route), 52.9% (logic) Clock Skew : -0.040 ns Hold Constraint : -35.000 ns Common Path Skew : -0.026 ns Path Slack : 35.298 ns (Passed) Source Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i1.ff_inst/CLK", "phy_name":"SLICE_2/CLK" }, "path_sections":[ { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":0.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":1.000, "delay":1.000 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":1.334, "delay":0.334 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":1.334, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":1.976, "delay":0.642 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":1.976, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- ------ --------------------- ------ Clk top CLOCK LATENCY 0.000 0.000 1 Clk NET DELAY 0.000 0.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.000 1.000 3 Clk_c NET DELAY 0.334 1.334 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 1.334 13 clk2 NET DELAY 0.642 1.976 13 {CNT2_e3_e3_e3_20__i1.ff_inst/CLK CNT2_e3_e3_e3_20__i2.ff_inst/CLK} CLOCK PIN 0.000 1.976 1 Data Path { "path_begin": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i2.ff_inst/Q", "phy_name":"SLICE_2/Q1" }, "path_end": { "type":"pin", "log_name":"CNT2_d_i3.ff_inst/DF", "phy_name":"CNT2_d_i3.SLICE_12/M0" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20__i2.ff_inst/CLK", "phy_name":"SLICE_2/CLK" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20__i2.ff_inst/Q", "phy_name":"SLICE_2/Q1" }, "arrive":2.150, "delay":0.174 }, { "type":"net_delay", "net": { "log_name":"CNT2[2]", "phy_name":"CNT2[2]" }, "arrive":2.305, "delay":0.155 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.305, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- ------ --------------------- ------ CNT2_e3_e3_e3_20__i2.ff_inst/CLK->CNT2_e3_e3_e3_20__i2.ff_inst/Q SLICE_R3C67B REG_DEL 0.174 2.150 2 CNT2[2] NET DELAY 0.155 2.305 2 CNT2_d_i3.ff_inst/DF ENDPOINT 0.000 2.305 1 Destination Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_d_i3.ff_inst/CLK", "phy_name":"CNT2_d_i3.SLICE_12/CLK" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":-35.000, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":-35.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":-34.078, "delay":0.922 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":-33.729, "delay":0.349 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":-33.729, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":-33.064, "delay":0.665 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":-33.064, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- ------ --------------------- ------ CONSTRAINT 0.000 -35.000 1 Clk top CLOCK LATENCY 0.000 -35.000 1 Clk NET DELAY 0.000 -35.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 0.922 -34.078 3 Clk_c NET DELAY 0.349 -33.729 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 -33.729 13 clk2 NET DELAY 0.665 -33.064 13 CNT2_d_i3.ff_inst/CLK CLOCK PIN 0.000 -33.064 1 Uncertainty 0.000 -33.064 Common Path Skew -0.026 -33.090 Hold time 0.097 -32.993 ---------------------------------------- -------------- ---------------- ------ --------------------- ------ Required Time 32.993 Arrival Time 2.305 ---------------------------------------- -------------- ---------------- ------ --------------------- ------ Path Slack (Passed) 35.298 ++++ Path 48 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Path Begin : CNT2_e3_e3_e3_20__i4.ff_inst/Q (SLICE_R3C67C) Path End : CNT2_d_i5.ff_inst/DF (SLICE_R3C68D) Source Clock : CLK2 (R) Destination Clock: CLK2 (F) Logic Level : 1 Delay Ratio : 47.1% (route), 52.9% (logic) Clock Skew : -0.040 ns Hold Constraint : -35.000 ns Common Path Skew : -0.026 ns Path Slack : 35.298 ns (Passed) Source Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i3.ff_inst/CLK", "phy_name":"SLICE_0/CLK" }, "path_sections":[ { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":0.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":1.000, "delay":1.000 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":1.334, "delay":0.334 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":1.334, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":1.976, "delay":0.642 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":1.976, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- ------ --------------------- ------ Clk top CLOCK LATENCY 0.000 0.000 1 Clk NET DELAY 0.000 0.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.000 1.000 3 Clk_c NET DELAY 0.334 1.334 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 1.334 13 clk2 NET DELAY 0.642 1.976 13 {CNT2_e3_e3_e3_20__i3.ff_inst/CLK CNT2_e3_e3_e3_20__i4.ff_inst/CLK} CLOCK PIN 0.000 1.976 1 Data Path { "path_begin": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i4.ff_inst/Q", "phy_name":"SLICE_0/Q1" }, "path_end": { "type":"pin", "log_name":"CNT2_d_i5.ff_inst/DF", "phy_name":"CNT2_d_i5.SLICE_10/M0" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20__i4.ff_inst/CLK", "phy_name":"SLICE_0/CLK" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20__i4.ff_inst/Q", "phy_name":"SLICE_0/Q1" }, "arrive":2.150, "delay":0.174 }, { "type":"net_delay", "net": { "log_name":"CNT2[4]", "phy_name":"CNT2[4]" }, "arrive":2.305, "delay":0.155 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.305, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- ------ --------------------- ------ CNT2_e3_e3_e3_20__i4.ff_inst/CLK->CNT2_e3_e3_e3_20__i4.ff_inst/Q SLICE_R3C67C REG_DEL 0.174 2.150 2 CNT2[4] NET DELAY 0.155 2.305 2 CNT2_d_i5.ff_inst/DF ENDPOINT 0.000 2.305 1 Destination Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_d_i5.ff_inst/CLK", "phy_name":"CNT2_d_i5.SLICE_10/CLK" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":-35.000, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":-35.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":-34.078, "delay":0.922 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":-33.729, "delay":0.349 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":-33.729, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":-33.064, "delay":0.665 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":-33.064, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- ------ --------------------- ------ CONSTRAINT 0.000 -35.000 1 Clk top CLOCK LATENCY 0.000 -35.000 1 Clk NET DELAY 0.000 -35.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 0.922 -34.078 3 Clk_c NET DELAY 0.349 -33.729 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 -33.729 13 clk2 NET DELAY 0.665 -33.064 13 CNT2_d_i5.ff_inst/CLK CLOCK PIN 0.000 -33.064 1 Uncertainty 0.000 -33.064 Common Path Skew -0.026 -33.090 Hold time 0.097 -32.993 ---------------------------------------- -------------- ---------------- ------ --------------------- ------ Required Time 32.993 Arrival Time 2.305 ---------------------------------------- -------------- ---------------- ------ --------------------- ------ Path Slack (Passed) 35.298 ++++ Path 49 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Path Begin : CNT2_e3_e3_e3_20__i6.ff_inst/Q (SLICE_R3C67D) Path End : CNT2_d_i7.ff_inst/DF (SLICE_R3C66D) Source Clock : CLK2 (R) Destination Clock: CLK2 (F) Logic Level : 1 Delay Ratio : 47.1% (route), 52.9% (logic) Clock Skew : -0.040 ns Hold Constraint : -35.000 ns Common Path Skew : -0.026 ns Path Slack : 35.298 ns (Passed) Source Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i5.ff_inst/CLK", "phy_name":"SLICE_3/CLK" }, "path_sections":[ { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":0.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":1.000, "delay":1.000 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":1.334, "delay":0.334 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":1.334, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":1.976, "delay":0.642 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":1.976, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- ------ --------------------- ------ Clk top CLOCK LATENCY 0.000 0.000 1 Clk NET DELAY 0.000 0.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 1.000 1.000 3 Clk_c NET DELAY 0.334 1.334 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 1.334 13 clk2 NET DELAY 0.642 1.976 13 {CNT2_e3_e3_e3_20__i5.ff_inst/CLK CNT2_e3_e3_e3_20__i6.ff_inst/CLK} CLOCK PIN 0.000 1.976 1 Data Path { "path_begin": { "type":"pin", "log_name":"CNT2_e3_e3_e3_20__i6.ff_inst/Q", "phy_name":"SLICE_3/Q1" }, "path_end": { "type":"pin", "log_name":"CNT2_d_i7.ff_inst/DF", "phy_name":"CNT2_d_i7.SLICE_7/M0" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"CNT2_e3_e3_e3_20__i6.ff_inst/CLK", "phy_name":"SLICE_3/CLK" }, "pin1": { "log_name":"CNT2_e3_e3_e3_20__i6.ff_inst/Q", "phy_name":"SLICE_3/Q1" }, "arrive":2.150, "delay":0.174 }, { "type":"net_delay", "net": { "log_name":"CNT2[6]", "phy_name":"CNT2[6]" }, "arrive":2.305, "delay":0.155 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.305, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- ------ --------------------- ------ CNT2_e3_e3_e3_20__i6.ff_inst/CLK->CNT2_e3_e3_e3_20__i6.ff_inst/Q SLICE_R3C67D REG_DEL 0.174 2.150 2 CNT2[6] NET DELAY 0.155 2.305 2 CNT2_d_i7.ff_inst/DF ENDPOINT 0.000 2.305 1 Destination Clock Path { "path_begin": { "type":"port", "log_name":"Clk", "phy_name":"Clk" }, "path_end": { "type":"pin", "log_name":"CNT2_d_i7.ff_inst/CLK", "phy_name":"CNT2_d_i7.SLICE_7/CLK" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":-35.000, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"Clk", "phy_name":"Clk" }, "arrive":-35.000, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"Clk_pad.bb_inst/B", "phy_name":"Clk_pad.bb_inst/IOPAD" }, "pin1": { "log_name":"Clk_pad.bb_inst/O", "phy_name":"Clk_pad.bb_inst/PADDI" }, "arrive":-34.078, "delay":0.922 }, { "type":"net_delay", "net": { "log_name":"Clk_c", "phy_name":"Clk_c" }, "arrive":-33.729, "delay":0.349 }, { "type":"site_delay", "pin0": { "log_name":"MyDCC/CLKI", "phy_name":"MyDCC/CLKI" }, "pin1": { "log_name":"MyDCC/CLKO", "phy_name":"MyDCC/CLKO" }, "arrive":-33.729, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"clk2", "phy_name":"clk2" }, "arrive":-33.064, "delay":0.665 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":-33.064, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- -------------- ---------------- ------ --------------------- ------ CONSTRAINT 0.000 -35.000 1 Clk top CLOCK LATENCY 0.000 -35.000 1 Clk NET DELAY 0.000 -35.000 1 Clk_pad.bb_inst/B->Clk_pad.bb_inst/O SEIO33_CORE_59 PADI_DEL 0.922 -34.078 3 Clk_c NET DELAY 0.349 -33.729 3 MyDCC/CLKI->MyDCC/CLKO DCC_DCC_T6 DCC_DEL 0.000 -33.729 13 clk2 NET DELAY 0.665 -33.064 13 CNT2_d_i7.ff_inst/CLK CLOCK PIN 0.000 -33.064 1 Uncertainty 0.000 -33.064 Common Path Skew -0.026 -33.090 Hold time 0.097 -32.993 ---------------------------------------- -------------- ---------------- ------ --------------------- ------ Required Time 32.993 Arrival Time 2.305 ---------------------------------------- -------------- ---------------- ------ --------------------- ------ Path Slack (Passed) 35.298 +++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ End of Detailed Report for timing paths +++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ ##########################################################

















































    Contents